]> git.zerfleddert.de Git - fpga-games/blame - galaxian/src/mc_top.v
add flash command file for impact
[fpga-games] / galaxian / src / mc_top.v
CommitLineData
782690d0
MG
1//===============================================================================\r
2// FPGA GALAXIAN TOP\r
3//\r
4// Version : 2.50\r
5//\r
6// Copyright(c) 2004 Katsumi Degawa , All rights reserved\r
7//\r
8// Important !\r
9//\r
10// This program is freeware for non-commercial use. \r
11// An author does no guarantee about this program.\r
12// You can use this under your own risk.\r
13//\r
14// 2004- 4-30 galaxian modify by K.DEGAWA\r
15// 2004- 5- 6 first release.\r
16// 2004- 8-23 Improvement with T80-IP.\r
17// 2004- 9-18 The description of ALTERA(CYCLONE) and XILINX(SPARTAN2E) was made one.\r
18// 2004- 9-22 The problem which missile didn't sometimes come out from was improved.\r
19//================================================================================\r
20\r
21`include "src/mc_conf.v" \r
22 \r
23module mc_top(\r
24\r
25// FPGA_USE\r
26I_CLK_125M,\r
27\r
28`ifdef PSPAD_USE\r
29// PS_PAD interface\r
30psCLK,\r
31psSEL,\r
32psTXD,\r
33psRXD,\r
34`endif\r
35\r
782690d0
MG
36// INPORT SW IF\r
37I_PSW,\r
38\r
39// SOUND OUT\r
40O_SOUND_OUT_L,\r
41O_SOUND_OUT_R,\r
42\r
43// VGA (VIDEO) IF\r
44O_VGA_R,\r
45O_VGA_G,\r
46O_VGA_B,\r
47O_VGA_H_SYNCn,\r
48O_VGA_V_SYNCn\r
49\r
50);\r
51\r
52// FPGA_USE\r
53input I_CLK_125M;\r
54\r
55// CPU ADDRESS BUS\r
56wire [15:0]W_A;\r
57// CPU IF\r
58wire W_CPU_RDn;\r
59wire W_CPU_WRn;\r
60wire W_CPU_MREQn;\r
61wire W_CPU_RFSHn;\r
62wire W_CPU_BUSAKn;\r
63wire W_CPU_IORQn;\r
64wire W_CPU_M1n;\r
65wire W_CPU_CLK;\r
66wire W_CPU_HRDWR_RESETn;\r
67wire W_CPU_WAITn;\r
68wire W_CPU_NMIn;\r
69\r
70`ifdef PSPAD_USE\r
71// PS_PAD interface\r
72input psRXD;\r
73output psTXD,psCLK,psSEL;\r
74`endif\r
75\r
782690d0 76// INPORT SW IF\r
3fc34adf 77input [8:0]I_PSW;\r
782690d0
MG
78\r
79// SOUND OUT \r
80output O_SOUND_OUT_L;\r
81output O_SOUND_OUT_R;\r
82\r
83// VGA (VIDEO) IF\r
491f582f
MG
84output [3:0]O_VGA_R;\r
85output [3:0]O_VGA_G;\r
86output [3:0]O_VGA_B;\r
782690d0
MG
87output O_VGA_H_SYNCn;\r
88output O_VGA_V_SYNCn;\r
89\r
3fc34adf 90wire W_RESETn = |(~I_PSW[8:5]);\r
782690d0 91//------ CLOCK GEN ---------------------------\r
c3bcc38a
MG
92wire W_CLK_18M;\r
93wire W_CLK_36M;\r
782690d0
MG
94wire W_CLK_12M,WB_CLK_12M;\r
95wire W_CLK_6M,WB_CLK_6M;\r
96wire W_STARS_CLK;\r
97\r
b884ab49 98mc_dcm clockgen(\r
782690d0 99.CLKIN_IN(I_CLK_125M),\r
fc28fcbf 100.RST_IN(! W_RESETn),\r
c3bcc38a 101.CLKFX_OUT(W_CLK_36M)\r
782690d0
MG
102);\r
103\r
104//------ H&V COUNTER -------------------------\r
105wire [8:0]W_H_CNT;\r
106wire [7:0]W_V_CNT;\r
107wire W_H_BL;\r
108wire W_V_BLn;\r
109wire W_C_BLn;\r
110wire W_H_SYNC;\r
111wire W_V_SYNC;\r
112\r
113//------ CPU RAM ----------------------------\r
114wire [7:0]W_CPU_RAM_DO;\r
115\r
116//------ ADDRESS DECDER ----------------------\r
117wire W_CPU_ROM_CSn;\r
118wire W_CPU_RAM_RDn;\r
119wire W_CPU_RAM_WRn;\r
120wire W_CPU_RAM_CSn;\r
121wire W_OBJ_RAM_RDn;\r
122wire W_OBJ_RAM_WRn;\r
123wire W_OBJ_RAM_RQn;\r
124wire W_VID_RAM_RDn;\r
125wire W_VID_RAM_WRn;\r
126wire W_SW0_OEn;\r
127wire W_SW1_OEn;\r
128wire W_DIP_OEn;\r
129wire W_WDR_OEn;\r
130wire W_LAMP_WEn;\r
131wire W_SOUND_WEn;\r
132wire W_PITCHn;\r
133wire W_H_FLIP;\r
134wire W_V_FLIP;\r
135wire W_BD_G;\r
136wire W_STARS_ON;\r
137\r
138wire W_VID_RDn = W_OBJ_RAM_RDn & W_VID_RAM_RDn ;\r
139wire W_SW_OEn = W_SW0_OEn & W_SW1_OEn & W_DIP_OEn ;\r
140//------- INPORT -----------------------------\r
141wire [7:0]W_SW_DO;\r
142//------- VIDEO -----------------------------\r
143wire [7:0]W_VID_DO;\r
144//--------------------------------------------\r
145\r
146mc_clock MC_CLK(\r
147\r
c3bcc38a
MG
148.I_CLK_36M(W_CLK_36M),\r
149.O_CLK_18M(W_CLK_18M),\r
782690d0
MG
150.O_CLK_12M(WB_CLK_12M),\r
151.O_CLK_06M(WB_CLK_6M)\r
152\r
153);\r
154\r
782690d0
MG
155assign W_CLK_12M = WB_CLK_12M;\r
156assign W_CLK_6M = WB_CLK_6M;\r
782690d0
MG
157//--- DATA I/F -------------------------------------\r
158reg [7:0]W_CPU_ROM_DO;\r
159wire [7:0]W_CPU_ROM_DOB = W_CPU_ROM_CSn ? 8'h00: W_CPU_ROM_DO ;\r
160\r
161wire [7:0]W_BDO = W_SW_DO | W_VID_DO | W_CPU_RAM_DO | W_CPU_ROM_DOB ;\r
162wire [7:0]W_BDI;\r
163\r
164//--- CPU I/F -------------------------------------\r
165reg [3:0]rst_count;\r
166always@(posedge W_H_CNT[0] or negedge W_RESETn)\r
167begin\r
168 if(! W_RESETn) rst_count <= 0;\r
169 else begin\r
170 if( rst_count == 15) \r
171 rst_count <= rst_count;\r
172 else\r
173 rst_count <= rst_count+1;\r
174 end\r
175end\r
176\r
177assign W_CPU_RESETn = W_RESETn;\r
178assign W_CPU_CLK = W_H_CNT[0];\r
179\r
180Z80IP CPU(\r
181 \r
182.CLK(W_CPU_CLK),\r
183.RESET_N(W_CPU_RESETn),\r
184.INT_N(1'b1),\r
185.NMI_N(W_CPU_NMIn),\r
186.ADRS(W_A),\r
187.DOUT(W_BDI),\r
188.DINP(W_BDO),\r
189.M1_N(),\r
190.MREQ_N(W_CPU_MREQn),\r
191.IORQ_N(),\r
192.RD_N(W_CPU_RDn ),\r
193.WR_N(W_CPU_WRn ),\r
194.WAIT_N(W_CPU_WAITn),\r
195.BUSWO(),\r
196.RFSH_N(W_CPU_RFSHn),\r
197.HALT_N()\r
198\r
199);\r
200\r
201wire W_CPU_RAM_CLK = W_CLK_12M & ~W_CPU_RAM_CSn;\r
202\r
203mc_cpu_ram MC_CPU_RAM(\r
204\r
205.I_CLK(W_CPU_RAM_CLK),\r
206.I_ADDR(W_A[9:0]),\r
207.I_D(W_BDI),\r
208.I_WE(~W_CPU_WRn),\r
209.I_OE(~W_CPU_RAM_RDn ),\r
210.O_D(W_CPU_RAM_DO)\r
211\r
212);\r
213\r
214\r
215mc_adec MC_ADEC(\r
216\r
217.I_CLK_12M(W_CLK_12M),\r
218.I_CLK_6M(W_CLK_6M),\r
219.I_CPU_CLK(W_H_CNT[0]),\r
220.I_RSTn(W_RESETn),\r
221\r
222.I_CPU_A(W_A),\r
223.I_CPU_D(W_BDI[0]),\r
224.I_MREQn(W_CPU_MREQn),\r
225.I_RFSHn(W_CPU_RFSHn),\r
226.I_RDn(W_CPU_RDn),\r
227.I_WRn(W_CPU_WRn),\r
228.I_H_BL(W_H_BL),\r
229.I_V_BLn(W_V_BLn),\r
230\r
231.O_WAITn(W_CPU_WAITn),\r
232.O_NMIn(W_CPU_NMIn),\r
233.O_CPU_ROM_CSn(W_CPU_ROM_CSn),\r
234.O_CPU_RAM_RDn(W_CPU_RAM_RDn),\r
235.O_CPU_RAM_WRn(W_CPU_RAM_WRn),\r
236.O_CPU_RAM_CSn(W_CPU_RAM_CSn),\r
237.O_OBJ_RAM_RDn(W_OBJ_RAM_RDn),\r
238.O_OBJ_RAM_WRn(W_OBJ_RAM_WRn),\r
239.O_OBJ_RAM_RQn(W_OBJ_RAM_RQn),\r
240.O_VID_RAM_RDn(W_VID_RAM_RDn),\r
241.O_VID_RAM_WRn(W_VID_RAM_WRn),\r
242.O_SW0_OEn(W_SW0_OEn),\r
243.O_SW1_OEn(W_SW1_OEn),\r
244.O_DIP_OEn(W_DIP_OEn),\r
245.O_WDR_OEn(W_WDR_OEn),\r
246.O_LAMP_WEn(W_LAMP_WEn),\r
247.O_SOUND_WEn(W_SOUND_WEn),\r
248.O_PITCHn(W_PITCHn),\r
249.O_H_FLIP(W_H_FLIP),\r
250.O_V_FLIP(W_V_FLIP),\r
251.O_BD_G(W_BD_G),\r
252.O_STARS_ON(W_STARS_ON)\r
253\r
254);\r
255\r
256//-------- SOUND I/F -----------------------------\r
257//--- Parts 9L ---------\r
258reg [7:0]W_9L_Q;\r
259always@(posedge W_CLK_12M or negedge W_RESETn)\r
260begin\r
261 if(W_RESETn == 1'b0)begin\r
262 W_9L_Q <= 0;\r
263 end \r
264 else begin\r
265 if(W_SOUND_WEn == 1'b0)begin\r
266 case(W_A[2:0])\r
267 3'h0 : W_9L_Q[0] <= W_BDI[0];\r
268 3'h1 : W_9L_Q[1] <= W_BDI[0];\r
269 3'h2 : W_9L_Q[2] <= W_BDI[0];\r
270 3'h3 : W_9L_Q[3] <= W_BDI[0];\r
271 3'h4 : W_9L_Q[4] <= W_BDI[0];\r
272 3'h5 : W_9L_Q[5] <= W_BDI[0];\r
273 3'h6 : W_9L_Q[6] <= W_BDI[0];\r
274 3'h7 : W_9L_Q[7] <= W_BDI[0];\r
275 endcase\r
276 end\r
277 end\r
278end\r
279wire W_VOL1 = W_9L_Q[6];\r
280wire W_VOL2 = W_9L_Q[7];\r
281wire W_FIRE = W_9L_Q[5];\r
282wire W_HIT = W_9L_Q[3];\r
283wire W_FS3 = W_9L_Q[2];\r
284wire W_FS2 = W_9L_Q[1];\r
285wire W_FS1 = W_9L_Q[0];\r
286//---------------------------------------------------\r
287//---- CPU DATA WATCH -------------------------------\r
288wire ZMWR = W_CPU_MREQn | W_CPU_WRn ;\r
289\r
290reg [1:0]on_game;\r
291always @(posedge W_CPU_CLK)\r
292begin\r
293 if(~ZMWR)begin\r
294 if(W_A == 16'h4007)begin\r
295 if(W_BDI == 8'h00) \r
296 on_game[0] <= 1;\r
297 else\r
298 on_game[0] <= 0;\r
299 end\r
300 if(W_A == 16'h4005)begin\r
301 if(W_BDI == 8'h03 || W_BDI == 8'h04 ) \r
302 on_game[1] <= 1;\r
303 else\r
304 on_game[1] <= 0;\r
305 end\r
306 end \r
307end\r
308\r
309`ifdef PSPAD_USE\r
310reg died;\r
311always @(posedge W_CPU_CLK)\r
312begin\r
313 if(~ZMWR)begin\r
314 if(W_A == 16'h4206)begin\r
315 if(W_BDI == 8'h00) \r
316 died <= 0;\r
317 else\r
318 died <= 1;\r
319 end\r
320 end\r
321end\r
322//---- PS_PAD Interface -----------------------------\r
323wire [8:0]ps_PSW;\r
324wire VIB_SW = died & (&on_game[1:0]);\r
325\r
326fpga_arcade_if pspad(\r
327\r
c3bcc38a 328.CLK_18M432(W_CLK_18M),\r
782690d0
MG
329.I_RSTn(W_RESETn),\r
330.psCLK(psCLK),\r
331.psSEL(psSEL),\r
332.psTXD(psTXD),\r
333.psRXD(psRXD),\r
334.ps_PSW(ps_PSW),\r
335.I_VIB_SW(VIB_SW)\r
336\r
337);\r
338`endif\r
339//---- SW Interface ---------------------------------\r
340`ifdef PSPAD_USE\r
341wire L1 = I_PSW[2] & ps_PSW[2];\r
342wire R1 = I_PSW[3] & ps_PSW[3];\r
343wire U1 = I_PSW[0];\r
344wire D1 = I_PSW[1];\r
345wire J1 = I_PSW[4] & ps_PSW[8];\r
346\r
347wire S1 = (U1|J1) & ps_PSW[6];\r
348wire S2 = (D1|J1) & ps_PSW[7];\r
349\r
350wire C1 = (L1|R1|U1|~D1) & ps_PSW[4];\r
351`else\r
3fc34adf
MG
352wire L1 = ! I_PSW[2];\r
353wire R1 = ! I_PSW[3];\r
354wire U1 = ! I_PSW[0];\r
355wire D1 = ! I_PSW[1];\r
356wire J1 = ! I_PSW[4];\r
782690d0 357\r
3fc34adf
MG
358wire S1 = ! I_PSW[5];\r
359wire S2 = ! I_PSW[7];\r
782690d0 360\r
3fc34adf 361wire C1 = ! I_PSW[6];\r
782690d0 362`endif\r
3fc34adf 363wire C2 = ! I_PSW[8];\r
782690d0
MG
364\r
365wire L2 = L1;\r
366wire R2 = R1;\r
367wire U2 = U1;\r
368wire D2 = D1;\r
369wire J2 = J1;\r
370\r
371mc_inport MC_INPORT(\r
372\r
373.I_COIN1(~C1), // ACTIVE HI\r
374.I_COIN2(~C2), // ACTIVE HI\r
375.I_1P_LE(~L1), // ACTIVE HI\r
376.I_1P_RI(~R1), // ACTIVE HI\r
377.I_1P_SH(~J1), // ACTIVE HI\r
378.I_2P_LE(~L2), // ACTIVE HI\r
379.I_2P_RI(~R2), // ACTIVE HI\r
380.I_2P_SH(~J2), // ACTIVE HI\r
381.I_1P_START(~S1), // ACTIVE HI\r
382.I_2P_START(~S2), // ACTIVE HI\r
383\r
384.I_SW0_OEn(W_SW0_OEn),\r
385.I_SW1_OEn(W_SW1_OEn),\r
386.I_DIP_OEn(W_DIP_OEn),\r
387\r
388.O_D(W_SW_DO)\r
389\r
390);\r
391\r
392//-----------------------------------------------------------------------------\r
393//------- ROM -------------------------------------------------------\r
394reg [18:0]ROM_A;\r
782690d0
MG
395\r
396wire [18:0]W_WAV_A0,W_WAV_A1,W_WAV_A2;\r
397reg [7:0]W_WAV_D0,W_WAV_D1,W_WAV_D2;\r
398\r
36a47d3c 399wire [7:0]ROM_D;\r
782690d0
MG
400\r
401galaxian_roms ROMS(\r
36a47d3c
MG
402.I_ROM_CLK(W_CLK_12M),\r
403.I_ADDR({3'h0,W_A[15:0]}),\r
782690d0
MG
404.O_DATA(ROM_D)\r
405);\r
406\r
36a47d3c 407always@(posedge W_CLK_12M)\r
782690d0 408begin\r
36a47d3c 409 W_CPU_ROM_DO <= ROM_D;\r
782690d0 410end\r
36a47d3c 411\r
782690d0
MG
412//-----------------------------------------------------------------------------\r
413\r
414wire W_V_BL2n;\r
415\r
416mc_hv_count MC_HV(\r
417\r
418.I_CLK(WB_CLK_6M),\r
419.I_RSTn(W_RESETn),\r
420\r
421.O_H_CNT(W_H_CNT),\r
422.O_H_SYNC(W_H_SYNC),\r
423.O_H_BL(W_H_BL),\r
424.O_V_CNT(W_V_CNT),\r
425.O_V_SYNC(W_V_SYNC),\r
426.O_V_BL2n(W_V_BL2n),\r
427.O_V_BLn(W_V_BLn),\r
428.O_C_BLn(W_C_BLn)\r
429\r
430);\r
431\r
432//------ VIDEO -----------------------------\r
433wire W_8HF;\r
434wire W_1VF;\r
435wire W_C_BLnX;\r
436wire W_256HnX;\r
437wire W_MISSILEn;\r
438wire W_SHELLn;\r
439wire [1:0]W_VID;\r
440wire [2:0]W_COL;\r
441\r
442mc_video MC_VID(\r
c3bcc38a 443.I_CLK_18M(W_CLK_18M),\r
782690d0
MG
444.I_CLK_12M(W_CLK_12M),\r
445.I_CLK_6M(W_CLK_6M),\r
446.I_H_CNT(W_H_CNT),\r
447.I_V_CNT(W_V_CNT),\r
448.I_H_FLIP(W_H_FLIP),\r
449.I_V_FLIP(W_V_FLIP),\r
450.I_V_BLn(W_V_BLn),\r
451.I_C_BLn(W_C_BLn),\r
452\r
453.I_A(W_A[9:0]),\r
454.I_OBJ_SUB_A(3'b000),\r
455.I_BD(W_BDI),\r
456.I_OBJ_RAM_RQn(W_OBJ_RAM_RQn),\r
457.I_OBJ_RAM_RDn(W_OBJ_RAM_RDn),\r
458.I_OBJ_RAM_WRn(W_OBJ_RAM_WRn),\r
459.I_VID_RAM_RDn(W_VID_RAM_RDn),\r
460.I_VID_RAM_WRn(W_VID_RAM_WRn),\r
782690d0
MG
461\r
462.O_C_BLnX(W_C_BLnX),\r
463.O_8HF(W_8HF),\r
464.O_256HnX(W_256HnX),\r
465.O_1VF(W_1VF),\r
466.O_MISSILEn(W_MISSILEn),\r
467.O_SHELLn(W_SHELLn),\r
468.O_BD(W_VID_DO),\r
469.O_VID(W_VID),\r
470.O_COL(W_COL)\r
471\r
472);\r
473\r
474wire W_C_BLX;\r
475wire W_STARS_OFFn;\r
476wire [2:0]W_VIDEO_R;\r
477wire [2:0]W_VIDEO_G;\r
478wire [1:0]W_VIDEO_B;\r
479\r
480mc_col_pal MC_COL_PAL(\r
481\r
482.I_CLK_12M(W_CLK_12M),\r
483.I_CLK_6M(W_CLK_6M),\r
484.I_VID(W_VID),\r
485.I_COL(W_COL),\r
486.I_C_BLnX(W_C_BLnX),\r
487\r
488.O_C_BLX(W_C_BLX),\r
489.O_STARS_OFFn(W_STARS_OFFn),\r
490.O_R(W_VIDEO_R),\r
491.O_G(W_VIDEO_G),\r
492.O_B(W_VIDEO_B)\r
493\r
494);\r
495\r
496wire [2:0]W_STARS_R;\r
497wire [2:0]W_STARS_G;\r
498wire [1:0]W_STARS_B;\r
499\r
500mc_stars MC_STARS( \r
501\r
c3bcc38a 502.I_CLK_18M(W_CLK_18M),\r
782690d0
MG
503`ifdef DEVICE_CYCLONE\r
504.I_CLK_6M(~WB_CLK_6M),\r
505`endif\r
506`ifdef DEVICE_SPARTAN2E \r
507.I_CLK_6M(WB_CLK_6M), \r
508`endif\r
509.I_H_FLIP(W_H_FLIP),\r
510.I_V_SYNC(W_V_SYNC),\r
511.I_8HF(W_8HF),\r
512.I_256HnX(W_256HnX),\r
513.I_1VF(W_1VF),\r
514.I_2V(W_V_CNT[1]),\r
515.I_STARS_ON(W_STARS_ON),\r
516.I_STARS_OFFn(W_STARS_OFFn),\r
517\r
518.O_R(W_STARS_R),\r
519.O_G(W_STARS_G),\r
520.O_B(W_STARS_B),\r
521.O_NOISE()\r
522\r
523);\r
524\r
525wire [2:0]W_R;\r
526wire [2:0]W_G;\r
527wire [1:0]W_B;\r
528\r
529mc_vedio_mix MIX(\r
530\r
531.I_VID_R(W_VIDEO_R),\r
532.I_VID_G(W_VIDEO_G),\r
533.I_VID_B(W_VIDEO_B),\r
534.I_STR_R(W_STARS_R),\r
535.I_STR_G(W_STARS_G),\r
536.I_STR_B(W_STARS_B),\r
537\r
538.I_C_BLnXX(~W_C_BLX),\r
539.I_C_BLX(W_C_BLX | ~W_V_BL2n),\r
540.I_MISSILEn(W_MISSILEn),\r
541.I_SHELLn(W_SHELLn),\r
542\r
543.O_R(W_R),\r
544.O_G(W_G),\r
545.O_B(W_B)\r
546\r
547);\r
548\r
491f582f
MG
549wire [2:0]W_VGA_R;\r
550wire [2:0]W_VGA_G;\r
551wire [1:0]W_VGA_B;\r
552\r
782690d0
MG
553`ifdef VGA_USE\r
554mc_vga_if VGA(\r
555\r
556// input\r
557.I_CLK_1(W_CLK_6M),\r
558.I_CLK_2(W_CLK_12M),\r
559.I_R(W_R),\r
560.I_G(W_G),\r
561.I_B(W_B),\r
562.I_H_SYNC(W_H_SYNC),\r
563.I_V_SYNC(W_V_SYNC),\r
564// output\r
491f582f
MG
565.O_R(W_VGA_R),\r
566.O_G(W_VGA_G),\r
567.O_B(W_VGA_B),\r
782690d0
MG
568.O_H_SYNCn(O_VGA_H_SYNCn),\r
569.O_V_SYNCn(O_VGA_V_SYNCn)\r
570\r
571);\r
572\r
573`else\r
574\r
491f582f 575assign W_VGA_R[2:0] = W_R;\r
782690d0 576\r
491f582f 577assign W_VGA_G[2:0] = W_G;\r
782690d0 578\r
491f582f 579assign W_VGA_B[1:0] = W_B;\r
782690d0
MG
580\r
581//assign O_VGA_H_SYNCn = W_H_SYNC | W_V_SYNC ; // AKIDUKI LCD USED\r
582assign O_VGA_H_SYNCn = ~W_H_SYNC ;\r
583assign O_VGA_V_SYNCn = ~W_V_SYNC ;\r
584\r
585`endif\r
586\r
e780c439 587assign O_VGA_R[3:0] = {W_VGA_R[0], W_VGA_R[1], W_VGA_R[2], 1'b0};\r
491f582f 588\r
e780c439 589assign O_VGA_G[3:0] = {W_VGA_G[0], W_VGA_G[1], W_VGA_G[2], 1'b0};\r
491f582f 590\r
e780c439 591assign O_VGA_B[3:0] = {W_VGA_B[0], W_VGA_B[1], 2'b0};\r
491f582f 592\r
782690d0
MG
593wire [7:0]W_SDAT_A;\r
594\r
595mc_sound_a MC_SOUND_A(\r
596\r
597.I_CLK_12M(W_CLK_12M),\r
598.I_CLK_6M(W_CLK_6M),\r
599.I_H_CNT1(W_H_CNT[1]),\r
600.I_BD(W_BDI),\r
601.I_PITCHn(W_PITCHn),\r
602.I_VOL1(W_VOL1),\r
603.I_VOL2(W_VOL2),\r
604\r
605.O_SDAT(W_SDAT_A),\r
606.O_DO()\r
607\r
608);\r
609\r
610wire [7:0]W_SDAT_B;\r
611\r
612mc_sound_b MC_SOUND_B(\r
613\r
c3bcc38a 614.I_CLK1(W_CLK_18M),\r
782690d0
MG
615.I_CLK2(W_CLK_6M),\r
616.I_RSTn(rst_count[3]),\r
617.I_SW({&on_game[1:0],W_HIT,W_FIRE}),\r
618\r
619.O_WAV_A0(W_WAV_A0),\r
620.O_WAV_A1(W_WAV_A1),\r
621.O_WAV_A2(W_WAV_A2),\r
622.I_WAV_D0(W_WAV_D0),\r
623.I_WAV_D1(W_WAV_D1),\r
624.I_WAV_D2(W_WAV_D2),\r
625\r
626.O_SDAT(W_SDAT_B)\r
627\r
628);\r
629\r
630wire W_DAC_A;\r
631wire W_DAC_B;\r
632\r
633assign O_SOUND_OUT_L = W_DAC_A;\r
634assign O_SOUND_OUT_R = W_DAC_B;\r
635\r
636dac wav_dac_a(\r
637\r
c3bcc38a 638.Clk(W_CLK_18M), \r
782690d0
MG
639.Reset(~W_RESETn),\r
640.DACin(W_SDAT_A),\r
641.DACout(W_DAC_A)\r
642\r
643);\r
644\r
645dac wav_dac_b(\r
646\r
c3bcc38a 647.Clk(W_CLK_18M), \r
782690d0
MG
648.Reset(~W_RESETn),\r
649.DACin(W_SDAT_B),\r
650.DACout(W_DAC_B)\r
651\r
652);\r
653\r
654\r
655endmodule\r
656\r
Impressum, Datenschutz