X-Git-Url: http://git.zerfleddert.de/cgi-bin/gitweb.cgi/fpga-games/blobdiff_plain/0840cca68ecfa59ea10e60db9c02dbbd081215e7..e780c43942c20a824da2b01bc87e3585425c3fcd:/galaxian/src/mc_top.v diff --git a/galaxian/src/mc_top.v b/galaxian/src/mc_top.v index c382b64..360a2f4 100644 --- a/galaxian/src/mc_top.v +++ b/galaxian/src/mc_top.v @@ -95,9 +95,9 @@ output O_SOUND_OUT_L; output O_SOUND_OUT_R; // VGA (VIDEO) IF -output [2:0]O_VGA_R; -output [2:0]O_VGA_G; -output [1:0]O_VGA_B; +output [3:0]O_VGA_R; +output [3:0]O_VGA_G; +output [3:0]O_VGA_B; output O_VGA_H_SYNCn; output O_VGA_V_SYNCn; @@ -107,11 +107,13 @@ wire I_CLK_18432M; wire W_CLK_12M,WB_CLK_12M; wire W_CLK_6M,WB_CLK_6M; wire W_STARS_CLK; +wire W_ROM_CLK; -dcm clockgen( +mc_dcm clockgen( .CLKIN_IN(I_CLK_125M), .RST_IN(! W_RESETn), -.CLKFX_OUT(I_CLK_18432M) +.CLKFX_OUT(I_CLK_18432M), +.CLK0_OUT(W_ROM_CLK) ); //------ H&V COUNTER ------------------------- @@ -425,8 +427,7 @@ wire [7:0]ROM_D; // = I_ROM_DB; //assign O_ROM_WEn = 1'b1; galaxian_roms ROMS( -.I_CLK_18432M(I_CLK_18432M), -.I_CLK_12M(WB_CLK_12M), +.I_ROM_CLK(W_ROM_CLK), .I_ADDR(ROM_A), .O_DATA(ROM_D) ); @@ -621,6 +622,10 @@ mc_vedio_mix MIX( ); +wire [2:0]W_VGA_R; +wire [2:0]W_VGA_G; +wire [1:0]W_VGA_B; + `ifdef VGA_USE mc_vga_if VGA( @@ -633,9 +638,9 @@ mc_vga_if VGA( .I_H_SYNC(W_H_SYNC), .I_V_SYNC(W_V_SYNC), // output -.O_R(O_VGA_R), -.O_G(O_VGA_G), -.O_B(O_VGA_B), +.O_R(W_VGA_R), +.O_G(W_VGA_G), +.O_B(W_VGA_B), .O_H_SYNCn(O_VGA_H_SYNCn), .O_V_SYNCn(O_VGA_V_SYNCn) @@ -643,11 +648,11 @@ mc_vga_if VGA( `else -assign O_VGA_R[2:0] = W_R; +assign W_VGA_R[2:0] = W_R; -assign O_VGA_G[2:0] = W_G; +assign W_VGA_G[2:0] = W_G; -assign O_VGA_B[1:0] = W_B; +assign W_VGA_B[1:0] = W_B; //assign O_VGA_H_SYNCn = W_H_SYNC | W_V_SYNC ; // AKIDUKI LCD USED assign O_VGA_H_SYNCn = ~W_H_SYNC ; @@ -655,6 +660,12 @@ assign O_VGA_V_SYNCn = ~W_V_SYNC ; `endif +assign O_VGA_R[3:0] = {W_VGA_R[0], W_VGA_R[1], W_VGA_R[2], 1'b0}; + +assign O_VGA_G[3:0] = {W_VGA_G[0], W_VGA_G[1], W_VGA_G[2], 1'b0}; + +assign O_VGA_B[3:0] = {W_VGA_B[0], W_VGA_B[1], 2'b0}; + wire [7:0]W_SDAT_A; mc_sound_a MC_SOUND_A(