]> git.zerfleddert.de Git - proxmark3-svn/blame - common/lfdemod.c
Small lf bug fixes and threshold adjustments
[proxmark3-svn] / common / lfdemod.c
CommitLineData
eb191de6 1//-----------------------------------------------------------------------------
ba1a299c 2// Copyright (C) 2014
eb191de6 3//
4// This code is licensed to you under the terms of the GNU GPL, version 2 or,
5// at your option, any later version. See the LICENSE.txt file for the text of
6// the license.
7//-----------------------------------------------------------------------------
8// Low frequency commands
9//-----------------------------------------------------------------------------
10
eb191de6 11#include <stdlib.h>
12#include <string.h>
eb191de6 13#include "lfdemod.h"
eb191de6 14
15//by marshmellow
16//takes 1s and 0s and searches for EM410x format - output EM ID
ba1a299c 17uint64_t Em410xDecode(uint8_t *BitStream, size_t size)
eb191de6 18{
ba1a299c 19 //no arguments needed - built this way in case we want this to be a direct call from "data " cmds in the future
20 // otherwise could be a void with no arguments
21 //set defaults
84871873 22 int high=0, low=255;
c12512e9 23 uint64_t lo=0;
ba1a299c 24
25 uint32_t i = 0;
26 uint32_t initLoopMax = 65;
27 if (initLoopMax>size) initLoopMax=size;
28
29 for (;i < initLoopMax; ++i) //65 samples should be plenty to find high and low values
30 {
31 if (BitStream[i] > high)
32 high = BitStream[i];
33 else if (BitStream[i] < low)
34 low = BitStream[i];
35 }
36 if (((high !=1)||(low !=0))){ //allow only 1s and 0s
37 // PrintAndLog("no data found");
38 return 0;
39 }
40 uint8_t parityTest=0;
41 // 111111111 bit pattern represent start of frame
42 uint8_t frame_marker_mask[] = {1,1,1,1,1,1,1,1,1};
43 uint32_t idx = 0;
44 uint32_t ii=0;
45 uint8_t resetCnt = 0;
46 while( (idx + 64) < size) {
47 restart:
48 // search for a start of frame marker
49 if ( memcmp(BitStream+idx, frame_marker_mask, sizeof(frame_marker_mask)) == 0)
50 { // frame marker found
c12512e9 51 idx+=9;
ba1a299c 52 for (i=0; i<10;i++){
53 for(ii=0; ii<5; ++ii){
54 parityTest += BitStream[(i*5)+ii+idx];
55 }
56 if (parityTest== ((parityTest>>1)<<1)){
57 parityTest=0;
58 for (ii=0; ii<4;++ii){
ba1a299c 59 lo=(lo<<1LL)|(BitStream[(i*5)+ii+idx]);
60 }
61 //PrintAndLog("DEBUG: EM parity passed parity val: %d, i:%d, ii:%d,idx:%d, Buffer: %d%d%d%d%d,lo: %d",parityTest,i,ii,idx,BitStream[idx+ii+(i*5)-5],BitStream[idx+ii+(i*5)-4],BitStream[idx+ii+(i*5)-3],BitStream[idx+ii+(i*5)-2],BitStream[idx+ii+(i*5)-1],lo);
62 }else {//parity failed
63 //PrintAndLog("DEBUG: EM parity failed parity val: %d, i:%d, ii:%d,idx:%d, Buffer: %d%d%d%d%d",parityTest,i,ii,idx,BitStream[idx+ii+(i*5)-5],BitStream[idx+ii+(i*5)-4],BitStream[idx+ii+(i*5)-3],BitStream[idx+ii+(i*5)-2],BitStream[idx+ii+(i*5)-1]);
64 parityTest=0;
65 idx-=8;
66 if (resetCnt>5)return 0;
67 resetCnt++;
68 goto restart;//continue;
69 }
70 }
71 //skip last 5 bit parity test for simplicity.
72 return lo;
73 }else{
74 idx++;
75 }
76 }
77 return 0;
eb191de6 78}
79
80//by marshmellow
81//takes 2 arguments - clock and invert both as integers
ba1a299c 82//attempts to demodulate ask while decoding manchester
eb191de6 83//prints binary found and saves in graphbuffer for further commands
ba1a299c 84int askmandemod(uint8_t *BinStream, size_t *size, int *clk, int *invert)
eb191de6 85{
ba1a299c 86 int i;
84871873 87 int high = 0, low = 255;
ba1a299c 88 *clk=DetectASKClock(BinStream, *size, *clk); //clock default
89
90 if (*clk<8) *clk =64;
91 if (*clk<32) *clk=32;
92 if (*invert != 0 && *invert != 1) *invert=0;
93 uint32_t initLoopMax = 200;
94 if (initLoopMax > *size) initLoopMax=*size;
95 // Detect high and lows
96 for (i = 0; i < initLoopMax; ++i) //200 samples should be enough to find high and low values
97 {
98 if (BinStream[i] > high)
99 high = BinStream[i];
100 else if (BinStream[i] < low)
101 low = BinStream[i];
102 }
84871873 103 if ((high < 129) ){ //throw away static (anything < 1 graph)
ba1a299c 104 //PrintAndLog("no data found");
105 return -2;
106 }
107 //25% fuzz in case highs and lows aren't clipped [marshmellow]
c12512e9 108 high=(int)(((high-128)*.75)+128);
109 low= (int)(((low-128)*.75)+128);
ba1a299c 110
111 //PrintAndLog("DEBUG - valid high: %d - valid low: %d",high,low);
112 int lastBit = 0; //set first clock check
113 uint32_t bitnum = 0; //output counter
114 int tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
115 if (*clk==32)tol=1; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
116 int iii = 0;
117 uint32_t gLen = *size;
118 if (gLen > 3000) gLen=3000;
119 uint8_t errCnt =0;
120 uint32_t bestStart = *size;
121 uint32_t bestErrCnt = (*size/1000);
122 uint32_t maxErr = (*size/1000);
123 //PrintAndLog("DEBUG - lastbit - %d",lastBit);
124 //loop to find first wave that works
125 for (iii=0; iii < gLen; ++iii){
126 if ((BinStream[iii] >= high) || (BinStream[iii] <= low)){
127 lastBit=iii-*clk;
128 errCnt=0;
129 //loop through to see if this start location works
130 for (i = iii; i < *size; ++i) {
131 if ((BinStream[i] >= high) && ((i-lastBit) > (*clk-tol))){
132 lastBit+=*clk;
133 } else if ((BinStream[i] <= low) && ((i-lastBit) > (*clk-tol))){
134 //low found and we are expecting a bar
135 lastBit+=*clk;
136 } else {
137 //mid value found or no bar supposed to be here
138 if ((i-lastBit)>(*clk+tol)){
139 //should have hit a high or low based on clock!!
140
141 //debug
142 //PrintAndLog("DEBUG - no wave in expected area - location: %d, expected: %d-%d, lastBit: %d - resetting search",i,(lastBit+(clk-((int)(tol)))),(lastBit+(clk+((int)(tol)))),lastBit);
143
144 errCnt++;
145 lastBit+=*clk;//skip over until hit too many errors
146 if (errCnt>(maxErr)) break; //allow 1 error for every 1000 samples else start over
147 }
148 }
149 if ((i-iii) >(400 * *clk)) break; //got plenty of bits
150 }
151 //we got more than 64 good bits and not all errors
152 if ((((i-iii)/ *clk) > (64+errCnt)) && (errCnt<maxErr)) {
153 //possible good read
154 if (errCnt==0){
155 bestStart=iii;
156 bestErrCnt=errCnt;
157 break; //great read - finish
158 }
159 if (errCnt<bestErrCnt){ //set this as new best run
160 bestErrCnt=errCnt;
161 bestStart = iii;
162 }
163 }
164 }
165 }
166 if (bestErrCnt<maxErr){
167 //best run is good enough set to best run and set overwrite BinStream
168 iii=bestStart;
169 lastBit = bestStart - *clk;
170 bitnum=0;
171 for (i = iii; i < *size; ++i) {
172 if ((BinStream[i] >= high) && ((i-lastBit) > (*clk-tol))){
173 lastBit += *clk;
174 BinStream[bitnum] = *invert;
175 bitnum++;
176 } else if ((BinStream[i] <= low) && ((i-lastBit) > (*clk-tol))){
177 //low found and we are expecting a bar
178 lastBit+=*clk;
179 BinStream[bitnum] = 1-*invert;
180 bitnum++;
181 } else {
182 //mid value found or no bar supposed to be here
183 if ((i-lastBit)>(*clk+tol)){
184 //should have hit a high or low based on clock!!
185
186 //debug
187 //PrintAndLog("DEBUG - no wave in expected area - location: %d, expected: %d-%d, lastBit: %d - resetting search",i,(lastBit+(clk-((int)(tol)))),(lastBit+(clk+((int)(tol)))),lastBit);
188 if (bitnum > 0){
189 BinStream[bitnum]=77;
190 bitnum++;
191 }
192
193 lastBit+=*clk;//skip over error
194 }
195 }
196 if (bitnum >=400) break;
197 }
198 *size=bitnum;
199 } else{
200 *invert=bestStart;
201 *clk=iii;
202 return -1;
203 }
204 return bestErrCnt;
eb191de6 205}
206
207//by marshmellow
208//take 10 and 01 and manchester decode
209//run through 2 times and take least errCnt
ba1a299c 210int manrawdecode(uint8_t * BitStream, size_t *size)
eb191de6 211{
ba1a299c 212 int bitnum=0;
213 int errCnt =0;
214 int i=1;
215 int bestErr = 1000;
216 int bestRun = 0;
217 int ii=1;
218 for (ii=1;ii<3;++ii){
219 i=1;
220 for (i=i+ii;i<*size-2;i+=2){
221 if(BitStream[i]==1 && (BitStream[i+1]==0)){
222 } else if((BitStream[i]==0)&& BitStream[i+1]==1){
223 } else {
224 errCnt++;
225 }
226 if(bitnum>300) break;
227 }
228 if (bestErr>errCnt){
229 bestErr=errCnt;
230 bestRun=ii;
231 }
232 errCnt=0;
233 }
234 errCnt=bestErr;
235 if (errCnt<20){
236 ii=bestRun;
237 i=1;
238 for (i=i+ii;i < *size-2;i+=2){
239 if(BitStream[i] == 1 && (BitStream[i+1] == 0)){
240 BitStream[bitnum++]=0;
241 } else if((BitStream[i] == 0) && BitStream[i+1] == 1){
242 BitStream[bitnum++]=1;
243 } else {
244 BitStream[bitnum++]=77;
245 //errCnt++;
246 }
247 if(bitnum>300) break;
248 }
249 *size=bitnum;
250 }
251 return errCnt;
f822a063 252}
253
254
255//by marshmellow
256//take 01 or 10 = 0 and 11 or 00 = 1
ba1a299c 257int BiphaseRawDecode(uint8_t *BitStream, size_t *size, int offset)
f822a063 258{
ba1a299c 259 uint8_t bitnum=0;
260 uint32_t errCnt =0;
261 uint32_t i=1;
262 i=offset;
263 for (;i<*size-2;i+=2){
264 if((BitStream[i]==1 && BitStream[i+1]==0) || (BitStream[i]==0 && BitStream[i+1]==1)){
265 BitStream[bitnum++]=1;
266 } else if((BitStream[i]==0 && BitStream[i+1]==0) || (BitStream[i]==1 && BitStream[i+1]==1)){
267 BitStream[bitnum++]=0;
268 } else {
269 BitStream[bitnum++]=77;
270 errCnt++;
271 }
272 if(bitnum>250) break;
273 }
274 *size=bitnum;
275 return errCnt;
eb191de6 276}
277
278//by marshmellow
279//takes 2 arguments - clock and invert both as integers
280//attempts to demodulate ask only
281//prints binary found and saves in graphbuffer for further commands
ba1a299c 282int askrawdemod(uint8_t *BinStream, size_t *size, int *clk, int *invert)
eb191de6 283{
ba1a299c 284 uint32_t i;
285 // int invert=0; //invert default
84871873 286 int high = 0, low = 255;
ba1a299c 287 *clk=DetectASKClock(BinStream, *size, *clk); //clock default
288 uint8_t BitStream[502] = {0};
289
290 if (*clk<8) *clk =64;
291 if (*clk<32) *clk=32;
292 if (*invert != 0 && *invert != 1) *invert =0;
293 uint32_t initLoopMax = 200;
c12512e9 294 if (initLoopMax > *size) initLoopMax=*size;
ba1a299c 295 // Detect high and lows
296 for (i = 0; i < initLoopMax; ++i) //200 samples should be plenty to find high and low values
297 {
298 if (BinStream[i] > high)
299 high = BinStream[i];
300 else if (BinStream[i] < low)
301 low = BinStream[i];
302 }
84871873 303 if ((high < 129)){ //throw away static high has to be more than 0 on graph.
304 //noise <= -10 here
ba1a299c 305 // PrintAndLog("no data found");
306 return -2;
307 }
308 //25% fuzz in case highs and lows aren't clipped [marshmellow]
c12512e9 309 high=(int)(((high-128)*.75)+128);
310 low= (int)(((low-128)*.75)+128);
ba1a299c 311
312 //PrintAndLog("DEBUG - valid high: %d - valid low: %d",high,low);
313 int lastBit = 0; //set first clock check
314 uint32_t bitnum = 0; //output counter
c12512e9 315 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock
316 // if they fall + or - this value + clock from last valid wave
317 if (*clk == 32) tol=1; //clock tolerance may not be needed anymore currently set to
318 // + or - 1 but could be increased for poor waves or removed entirely
ba1a299c 319 uint32_t iii = 0;
320 uint32_t gLen = *size;
321 if (gLen > 500) gLen=500;
322 uint8_t errCnt =0;
323 uint32_t bestStart = *size;
324 uint32_t bestErrCnt = (*size/1000);
325 uint8_t midBit=0;
326 //PrintAndLog("DEBUG - lastbit - %d",lastBit);
327 //loop to find first wave that works
328 for (iii=0; iii < gLen; ++iii){
329 if ((BinStream[iii]>=high) || (BinStream[iii]<=low)){
330 lastBit=iii-*clk;
331 //loop through to see if this start location works
332 for (i = iii; i < *size; ++i) {
333 if ((BinStream[i] >= high) && ((i-lastBit)>(*clk-tol))){
334 lastBit+=*clk;
335 BitStream[bitnum] = *invert;
336 bitnum++;
337 midBit=0;
338 } else if ((BinStream[i] <= low) && ((i-lastBit)>(*clk-tol))){
339 //low found and we are expecting a bar
340 lastBit+=*clk;
341 BitStream[bitnum] = 1- *invert;
342 bitnum++;
343 midBit=0;
344 } else if ((BinStream[i]<=low) && (midBit==0) && ((i-lastBit)>((*clk/2)-tol))){
345 //mid bar?
346 midBit=1;
347 BitStream[bitnum]= 1- *invert;
348 bitnum++;
349 } else if ((BinStream[i]>=high) && (midBit==0) && ((i-lastBit)>((*clk/2)-tol))){
350 //mid bar?
351 midBit=1;
352 BitStream[bitnum]= *invert;
353 bitnum++;
354 } else if ((i-lastBit)>((*clk/2)+tol) && (midBit==0)){
355 //no mid bar found
356 midBit=1;
357 BitStream[bitnum]= BitStream[bitnum-1];
358 bitnum++;
359 } else {
360 //mid value found or no bar supposed to be here
361
362 if ((i-lastBit)>(*clk+tol)){
363 //should have hit a high or low based on clock!!
364 //debug
365 //PrintAndLog("DEBUG - no wave in expected area - location: %d, expected: %d-%d, lastBit: %d - resetting search",i,(lastBit+(clk-((int)(tol)))),(lastBit+(clk+((int)(tol)))),lastBit);
366 if (bitnum > 0){
367 BitStream[bitnum]=77;
368 bitnum++;
369 }
370
ba1a299c 371 errCnt++;
372 lastBit+=*clk;//skip over until hit too many errors
373 if (errCnt > ((*size/1000))){ //allow 1 error for every 1000 samples else start over
374 errCnt=0;
375 bitnum=0;//start over
376 break;
377 }
378 }
379 }
380 if (bitnum>500) break;
381 }
382 //we got more than 64 good bits and not all errors
383 if ((bitnum > (64+errCnt)) && (errCnt<(*size/1000))) {
384 //possible good read
385 if (errCnt==0) break; //great read - finish
386 if (bestStart == iii) break; //if current run == bestErrCnt run (after exhausted testing) then finish
387 if (errCnt<bestErrCnt){ //set this as new best run
388 bestErrCnt=errCnt;
389 bestStart = iii;
390 }
391 }
392 }
393 if (iii>=gLen){ //exhausted test
394 //if there was a ok test go back to that one and re-run the best run (then dump after that run)
395 if (bestErrCnt < (*size/1000)) iii=bestStart;
396 }
397 }
398 if (bitnum>16){
ba1a299c 399 for (i=0; i < bitnum; ++i){
400 BinStream[i]=BitStream[i];
401 }
402 *size=bitnum;
ba1a299c 403 } else return -1;
404 return errCnt;
eb191de6 405}
ba1a299c 406//translate wave to 11111100000 (1 for each short wave 0 for each long wave)
f822a063 407size_t fsk_wave_demod(uint8_t * dest, size_t size, uint8_t fchigh, uint8_t fclow)
eb191de6 408{
ba1a299c 409 uint32_t last_transition = 0;
410 uint32_t idx = 1;
ac3ba7ee 411 //uint32_t maxVal=0;
ba1a299c 412 if (fchigh==0) fchigh=10;
413 if (fclow==0) fclow=8;
84871873 414 //set the threshold close to 0 (graph) or 128 std to avoid static
415 uint8_t threshold_value = 123;
ba1a299c 416
417 // sync to first lo-hi transition, and threshold
418
419 // Need to threshold first sample
420
421 if(dest[0] < threshold_value) dest[0] = 0;
422 else dest[0] = 1;
423
424 size_t numBits = 0;
425 // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
426 // or 10 (fc/10) cycles but in practice due to noise etc we may end up with with anywhere
427 // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
428 for(idx = 1; idx < size; idx++) {
429 // threshold current value
430
431 if (dest[idx] < threshold_value) dest[idx] = 0;
432 else dest[idx] = 1;
433
434 // Check for 0->1 transition
435 if (dest[idx-1] < dest[idx]) { // 0 -> 1 transition
436 if ((idx-last_transition)<(fclow-2)){ //0-5 = garbage noise
437 //do nothing with extra garbage
438 } else if ((idx-last_transition) < (fchigh-1)) { //6-8 = 8 waves
439 dest[numBits]=1;
440 } else { //9+ = 10 waves
441 dest[numBits]=0;
442 }
443 last_transition = idx;
444 numBits++;
445 }
446 }
447 return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
eb191de6 448}
449
450uint32_t myround2(float f)
451{
ba1a299c 452 if (f >= 2000) return 2000;//something bad happened
453 return (uint32_t) (f + (float)0.5);
eb191de6 454}
455
ba1a299c 456//translate 11111100000 to 10
457size_t aggregate_bits(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t maxConsequtiveBits,
458 uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 459{
ba1a299c 460 uint8_t lastval=dest[0];
461 uint32_t idx=0;
462 size_t numBits=0;
463 uint32_t n=1;
464
465 for( idx=1; idx < size; idx++) {
466
467 if (dest[idx]==lastval) {
468 n++;
469 continue;
470 }
471 //if lastval was 1, we have a 1->0 crossing
472 if ( dest[idx-1]==1 ) {
473 n=myround2((float)(n+1)/((float)(rfLen)/(float)fclow));
ba1a299c 474 } else {// 0->1 crossing
84871873 475 n=myround2((float)(n+1)/((float)(rfLen-1)/(float)fchigh)); //-1 for fudge factor
ba1a299c 476 }
477 if (n == 0) n = 1;
478
479 if(n < maxConsequtiveBits) //Consecutive
480 {
481 if(invert==0){ //invert bits
482 memset(dest+numBits, dest[idx-1] , n);
483 }else{
484 memset(dest+numBits, dest[idx-1]^1 , n);
485 }
486 numBits += n;
487 }
488 n=0;
489 lastval=dest[idx];
490 }//end for
491 return numBits;
eb191de6 492}
493//by marshmellow (from holiman's base)
494// full fsk demod from GraphBuffer wave to decoded 1s and 0s (no mandemod)
f822a063 495int fskdemod(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 496{
ba1a299c 497 // FSK demodulator
498 size = fsk_wave_demod(dest, size, fchigh, fclow);
499 size = aggregate_bits(dest, size, rfLen, 192, invert, fchigh, fclow);
500 return size;
eb191de6 501}
502// loop to get raw HID waveform then FSK demodulate the TAG ID from it
503int HIDdemodFSK(uint8_t *dest, size_t size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
504{
3400a435 505
ba1a299c 506 size_t idx=0; //, found=0; //size=0,
507 // FSK demodulator
508 size = fskdemod(dest, size,50,0,10,8);
509
510 // final loop, go over previously decoded manchester data and decode into usable tag ID
511 // 111000 bit pattern represent start of frame, 01 pattern represents a 1 and 10 represents a 0
512 uint8_t frame_marker_mask[] = {1,1,1,0,0,0};
513 int numshifts = 0;
514 idx = 0;
515 //one scan
516 while( idx + sizeof(frame_marker_mask) < size) {
517 // search for a start of frame marker
518 if ( memcmp(dest+idx, frame_marker_mask, sizeof(frame_marker_mask)) == 0)
519 { // frame marker found
520 idx+=sizeof(frame_marker_mask);
521 while(dest[idx] != dest[idx+1] && idx < size-2)
522 {
523 // Keep going until next frame marker (or error)
524 // Shift in a bit. Start by shifting high registers
525 *hi2 = (*hi2<<1)|(*hi>>31);
526 *hi = (*hi<<1)|(*lo>>31);
527 //Then, shift in a 0 or one into low
528 if (dest[idx] && !dest[idx+1]) // 1 0
529 *lo=(*lo<<1)|0;
530 else // 0 1
531 *lo=(*lo<<1)|1;
532 numshifts++;
533 idx += 2;
534 }
535 // Hopefully, we read a tag and hit upon the next frame marker
536 if(idx + sizeof(frame_marker_mask) < size)
537 {
538 if ( memcmp(dest+idx, frame_marker_mask, sizeof(frame_marker_mask)) == 0)
539 {
540 //good return
541 return idx;
542 }
543 }
544 // reset
545 *hi2 = *hi = *lo = 0;
546 numshifts = 0;
547 }else {
548 idx++;
549 }
550 }
551 return -1;
eb191de6 552}
553
ba1a299c 554uint32_t bytebits_to_byte(uint8_t* src, size_t numbits)
eb191de6 555{
ba1a299c 556 uint32_t num = 0;
557 for(int i = 0 ; i < numbits ; i++)
558 {
559 num = (num << 1) | (*src);
560 src++;
561 }
562 return num;
eb191de6 563}
564
565int IOdemodFSK(uint8_t *dest, size_t size)
566{
84871873 567 static const uint8_t THRESHOLD = 129;
ba1a299c 568 uint32_t idx=0;
569 //make sure buffer has data
570 if (size < 66) return -1;
571 //test samples are not just noise
572 uint8_t justNoise = 1;
573 for(idx=0;idx< size && justNoise ;idx++){
574 justNoise = dest[idx] < THRESHOLD;
575 }
576 if(justNoise) return 0;
577
578 // FSK demodulator
579 size = fskdemod(dest, size, 64, 1, 10, 8); // RF/64 and invert
580 if (size < 65) return -1; //did we get a good demod?
581 //Index map
582 //0 10 20 30 40 50 60
583 //| | | | | | |
584 //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
585 //-----------------------------------------------------------------------------
586 //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
587 //
588 //XSF(version)facility:codeone+codetwo
589 //Handle the data
590 uint8_t mask[] = {0,0,0,0,0,0,0,0,0,1};
591 for( idx=0; idx < (size - 65); idx++) {
592 if ( memcmp(dest + idx, mask, sizeof(mask))==0) {
593 //frame marker found
594 if (!dest[idx+8] && dest[idx+17]==1 && dest[idx+26]==1 && dest[idx+35]==1 && dest[idx+44]==1 && dest[idx+53]==1){
595 //confirmed proper separator bits found
596 //return start position
597 return (int) idx;
598 }
599 }
600 }
601 return 0;
eb191de6 602}
603
604// by marshmellow
605// not perfect especially with lower clocks or VERY good antennas (heavy wave clipping)
606// maybe somehow adjust peak trimming value based on samples to fix?
f822a063 607int DetectASKClock(uint8_t dest[], size_t size, int clock)
eb191de6 608{
ba1a299c 609 int i=0;
610 int peak=0;
84871873 611 int low=255;
ba1a299c 612 int clk[]={16,32,40,50,64,100,128,256};
613 int loopCnt = 256; //don't need to loop through entire array...
614 if (size<loopCnt) loopCnt = size;
615
616 //if we already have a valid clock quit
617 for (;i<8;++i)
c12512e9 618 if (clk[i] == clock) return clock;
ba1a299c 619
620 //get high and low peak
c12512e9 621 for (i=0; i < loopCnt; ++i){
622 if(dest[i] > peak){
ba1a299c 623 peak = dest[i];
624 }
c12512e9 625 if(dest[i] < low){
ba1a299c 626 low = dest[i];
627 }
628 }
629 peak=(int)(((peak-128)*.75)+128);
630 low= (int)(((low-128)*.75)+128);
631 int ii;
632 int clkCnt;
633 int tol = 0;
634 int bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000};
635 int errCnt=0;
636 //test each valid clock from smallest to greatest to see which lines up
c12512e9 637 for(clkCnt=0; clkCnt < 6; ++clkCnt){
638 if (clk[clkCnt] == 32){
ba1a299c 639 tol=1;
640 }else{
641 tol=0;
642 }
643 bestErr[clkCnt]=1000;
644 //try lining up the peaks by moving starting point (try first 256)
c12512e9 645 for (ii=0; ii< loopCnt; ++ii){
646 if ((dest[ii] >= peak) || (dest[ii] <= low)){
ba1a299c 647 errCnt=0;
648 // now that we have the first one lined up test rest of wave array
649 for (i=0; i<((int)(size/clk[clkCnt])-1); ++i){
650 if (dest[ii+(i*clk[clkCnt])]>=peak || dest[ii+(i*clk[clkCnt])]<=low){
651 }else if(dest[ii+(i*clk[clkCnt])-tol]>=peak || dest[ii+(i*clk[clkCnt])-tol]<=low){
652 }else if(dest[ii+(i*clk[clkCnt])+tol]>=peak || dest[ii+(i*clk[clkCnt])+tol]<=low){
653 }else{ //error no peak detected
654 errCnt++;
655 }
656 }
657 //if we found no errors this is correct one - return this clock
658 if(errCnt==0) return clk[clkCnt];
659 //if we found errors see if it is lowest so far and save it as best run
660 if(errCnt<bestErr[clkCnt]) bestErr[clkCnt]=errCnt;
661 }
662 }
663 }
664 int iii=0;
665 int best=0;
666 for (iii=0; iii<7;++iii){
667 if (bestErr[iii]<bestErr[best]){
668 // current best bit to error ratio vs new bit to error ratio
c12512e9 669 if (((size/clk[best])/bestErr[best] < (size/clk[iii])/bestErr[iii]) ){
ba1a299c 670 best = iii;
671 }
672 }
673 }
674 return clk[best];
eb191de6 675}
ba1a299c 676
677//by marshmellow
678//detect psk clock by reading #peaks vs no peaks(or errors)
679int DetectpskNRZClock(uint8_t dest[], size_t size, int clock)
680{
681 int i=0;
682 int peak=0;
84871873 683 int low=255;
ba1a299c 684 int clk[]={16,32,40,50,64,100,128,256};
685 int loopCnt = 2048; //don't need to loop through entire array...
686 if (size<loopCnt) loopCnt = size;
687
688 //if we already have a valid clock quit
c12512e9 689 for (; i < 8; ++i)
690 if (clk[i] == clock) return clock;
ba1a299c 691
692 //get high and low peak
c12512e9 693 for (i=0; i < loopCnt; ++i){
694 if(dest[i] > peak){
ba1a299c 695 peak = dest[i];
696 }
c12512e9 697 if(dest[i] < low){
ba1a299c 698 low = dest[i];
699 }
700 }
ac3ba7ee 701 peak=(int)(((peak-128)*.75)+128);
702 low= (int)(((low-128)*.75)+128);
ba1a299c 703 //PrintAndLog("DEBUG: peak: %d, low: %d",peak,low);
704 int ii;
705 uint8_t clkCnt;
706 uint8_t tol = 0;
707 int peakcnt=0;
708 int errCnt=0;
709 int bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
710 int peaksdet[]={0,0,0,0,0,0,0,0,0};
711 //test each valid clock from smallest to greatest to see which lines up
c12512e9 712 for(clkCnt=0; clkCnt < 6; ++clkCnt){
713 if (clk[clkCnt] == 32){
ac3ba7ee 714 tol=1;
ba1a299c 715 }else{
716 tol=0;
717 }
718 //try lining up the peaks by moving starting point (try first 256)
c12512e9 719 for (ii=0; ii< loopCnt; ++ii){
720 if ((dest[ii] >= peak) || (dest[ii] <= low)){
ba1a299c 721 errCnt=0;
722 peakcnt=0;
723 // now that we have the first one lined up test rest of wave array
c12512e9 724 for (i=0; i < ((int)(size/clk[clkCnt])-1); ++i){
ba1a299c 725 if (dest[ii+(i*clk[clkCnt])]>=peak || dest[ii+(i*clk[clkCnt])]<=low){
726 peakcnt++;
727 }else if(dest[ii+(i*clk[clkCnt])-tol]>=peak || dest[ii+(i*clk[clkCnt])-tol]<=low){
728 peakcnt++;
729 }else if(dest[ii+(i*clk[clkCnt])+tol]>=peak || dest[ii+(i*clk[clkCnt])+tol]<=low){
730 peakcnt++;
731 }else{ //error no peak detected
732 errCnt++;
733 }
734 }
735 if(peakcnt>peaksdet[clkCnt]) {
736 peaksdet[clkCnt]=peakcnt;
737 bestErr[clkCnt]=errCnt;
738 }
739 }
740 }
741 }
742 int iii=0;
743 int best=0;
744 //int ratio2; //debug
745 int ratio;
746 //int bits;
c12512e9 747 for (iii=0; iii < 7; ++iii){
ba1a299c 748 ratio=1000;
749 //ratio2=1000; //debug
750 //bits=size/clk[iii]; //debug
c12512e9 751 if (peaksdet[iii] > 0){
ba1a299c 752 ratio=bestErr[iii]/peaksdet[iii];
c12512e9 753 if (((bestErr[best]/peaksdet[best]) > (ratio)+1)){
ba1a299c 754 best = iii;
755 }
756 //ratio2=bits/peaksdet[iii]; //debug
757 }
758 //PrintAndLog("DEBUG: Clk: %d, peaks: %d, errs: %d, bestClk: %d, ratio: %d, bits: %d, peakbitr: %d",clk[iii],peaksdet[iii],bestErr[iii],clk[best],ratio, bits,ratio2);
759 }
760 return clk[best];
761}
762
763//by marshmellow (attempt to get rid of high immediately after a low)
764void pskCleanWave(uint8_t *bitStream, size_t size)
765{
766 int i;
84871873 767 int low=255;
ba1a299c 768 int high=0;
769 int gap = 4;
770 // int loopMax = 2048;
771 int newLow=0;
772 int newHigh=0;
c12512e9 773 for (i=0; i < size; ++i){
774 if (bitStream[i] < low) low=bitStream[i];
775 if (bitStream[i] > high) high=bitStream[i];
ba1a299c 776 }
777 high = (int)(((high-128)*.80)+128);
778 low = (int)(((low-128)*.90)+128);
779 //low = (uint8_t)(((int)(low)-128)*.80)+128;
c12512e9 780 for (i=0; i < size; ++i){
781 if (newLow == 1){
ba1a299c 782 bitStream[i]=low+8;
783 gap--;
c12512e9 784 if (gap == 0){
ba1a299c 785 newLow=0;
786 gap=4;
787 }
c12512e9 788 }else if (newHigh == 1){
ba1a299c 789 bitStream[i]=high-8;
790 gap--;
c12512e9 791 if (gap == 0){
ba1a299c 792 newHigh=0;
793 gap=4;
794 }
795 }
c12512e9 796 if (bitStream[i] <= low) newLow=1;
797 if (bitStream[i] >= high) newHigh=1;
ba1a299c 798 }
799 return;
800}
801
802
803//redesigned by marshmellow adjusted from existing decode functions
804//indala id decoding - only tested on 26 bit tags, but attempted to make it work for more
805int indala26decode(uint8_t *bitStream, size_t *size, uint8_t *invert)
806{
807 //26 bit 40134 format (don't know other formats)
808 int i;
84871873 809 int long_wait=29;//29 leading zeros in format
ba1a299c 810 int start;
811 int first = 0;
812 int first2 = 0;
813 int bitCnt = 0;
814 int ii;
815 // Finding the start of a UID
816 for (start = 0; start <= *size - 250; start++) {
817 first = bitStream[start];
818 for (i = start; i < start + long_wait; i++) {
819 if (bitStream[i] != first) {
820 break;
821 }
822 }
823 if (i == (start + long_wait)) {
824 break;
825 }
826 }
827 if (start == *size - 250 + 1) {
828 // did not find start sequence
829 return -1;
830 }
ba1a299c 831 // Inverting signal if needed
832 if (first == 1) {
833 for (i = start; i < *size; i++) {
834 bitStream[i] = !bitStream[i];
835 }
836 *invert = 1;
837 }else *invert=0;
838
839 int iii;
84871873 840 //found start once now test length by finding next one
ba1a299c 841 for (ii=start+29; ii <= *size - 250; ii++) {
842 first2 = bitStream[ii];
843 for (iii = ii; iii < ii + long_wait; iii++) {
844 if (bitStream[iii] != first2) {
845 break;
846 }
847 }
848 if (iii == (ii + long_wait)) {
849 break;
850 }
851 }
852 if (ii== *size - 250 + 1){
853 // did not find second start sequence
854 return -2;
855 }
856 bitCnt=ii-start;
857
858 // Dumping UID
859 i = start;
860 for (ii = 0; ii < bitCnt; ii++) {
861 bitStream[ii] = bitStream[i++];
862 }
863 *size=bitCnt;
864 return 1;
865}
866
867
868//by marshmellow - demodulate PSK wave or NRZ wave (both similar enough)
869//peaks switch bit (high=1 low=0) each clock cycle = 1 bit determined by last peak
870int pskNRZrawDemod(uint8_t *dest, size_t *size, int *clk, int *invert)
871{
872 pskCleanWave(dest,*size);
873 int clk2 = DetectpskNRZClock(dest, *size, *clk);
874 *clk=clk2;
875 uint32_t i;
84871873 876 uint8_t high=0, low=255;
ba1a299c 877 uint32_t gLen = *size;
878 if (gLen > 1280) gLen=1280;
879 // get high
c12512e9 880 for (i=0; i < gLen; ++i){
881 if (dest[i] > high) high = dest[i];
882 if (dest[i] < low) low = dest[i];
ba1a299c 883 }
884 //fudge high/low bars by 25%
885 high = (uint8_t)((((int)(high)-128)*.75)+128);
886 low = (uint8_t)((((int)(low)-128)*.80)+128);
887
888 //PrintAndLog("DEBUG - valid high: %d - valid low: %d",high,low);
889 int lastBit = 0; //set first clock check
890 uint32_t bitnum = 0; //output counter
891 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
84871873 892 if (*clk==32) tol = 2; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
ba1a299c 893 uint32_t iii = 0;
894 uint8_t errCnt =0;
895 uint32_t bestStart = *size;
896 uint32_t maxErr = (*size/1000);
897 uint32_t bestErrCnt = maxErr;
898 //uint8_t midBit=0;
899 uint8_t curBit=0;
900 uint8_t bitHigh=0;
901 uint8_t ignorewin=*clk/8;
902 //PrintAndLog("DEBUG - lastbit - %d",lastBit);
903 //loop to find first wave that works - align to clock
904 for (iii=0; iii < gLen; ++iii){
c12512e9 905 if ((dest[iii]>=high) || (dest[iii]<=low)){
ba1a299c 906 lastBit=iii-*clk;
907 //loop through to see if this start location works
908 for (i = iii; i < *size; ++i) {
909 //if we found a high bar and we are at a clock bit
910 if ((dest[i]>=high ) && (i>=lastBit+*clk-tol && i<=lastBit+*clk+tol)){
911 bitHigh=1;
912 lastBit+=*clk;
913 ignorewin=*clk/8;
914 bitnum++;
915 //else if low bar found and we are at a clock point
916 }else if ((dest[i]<=low ) && (i>=lastBit+*clk-tol && i<=lastBit+*clk+tol)){
917 bitHigh=1;
918 lastBit+=*clk;
919 ignorewin=*clk/8;
920 bitnum++;
921 //else if no bars found
c12512e9 922 }else if(dest[i] < high && dest[i] > low) {
ba1a299c 923 if (ignorewin==0){
924 bitHigh=0;
925 }else ignorewin--;
926 //if we are past a clock point
c12512e9 927 if (i >= lastBit+*clk+tol){ //clock val
ba1a299c 928 lastBit+=*clk;
929 bitnum++;
930 }
931 //else if bar found but we are not at a clock bit and we did not just have a clock bit
932 }else if ((dest[i]>=high || dest[i]<=low) && (i<lastBit+*clk-tol || i>lastBit+*clk+tol) && (bitHigh==0)){
933 //error bar found no clock...
934 errCnt++;
935 }
936 if (bitnum>=1000) break;
937 }
938 //we got more than 64 good bits and not all errors
c12512e9 939 if ((bitnum > (64+errCnt)) && (errCnt < (maxErr))) {
ba1a299c 940 //possible good read
c12512e9 941 if (errCnt == 0){
ba1a299c 942 bestStart = iii;
c12512e9 943 bestErrCnt = errCnt;
ba1a299c 944 break; //great read - finish
945 }
946 if (bestStart == iii) break; //if current run == bestErrCnt run (after exhausted testing) then finish
c12512e9 947 if (errCnt < bestErrCnt){ //set this as new best run
948 bestErrCnt = errCnt;
ba1a299c 949 bestStart = iii;
950 }
951 }
952 }
953 }
c12512e9 954 if (bestErrCnt < maxErr){
ba1a299c 955 //best run is good enough set to best run and set overwrite BinStream
956 iii=bestStart;
957 lastBit=bestStart-*clk;
958 bitnum=0;
959 for (i = iii; i < *size; ++i) {
960 //if we found a high bar and we are at a clock bit
c12512e9 961 if ((dest[i] >= high ) && (i>=lastBit+*clk-tol && i<=lastBit+*clk+tol)){
ba1a299c 962 bitHigh=1;
963 lastBit+=*clk;
964 curBit=1-*invert;
965 dest[bitnum]=curBit;
966 ignorewin=*clk/8;
967 bitnum++;
968 //else if low bar found and we are at a clock point
969 }else if ((dest[i]<=low ) && (i>=lastBit+*clk-tol && i<=lastBit+*clk+tol)){
970 bitHigh=1;
971 lastBit+=*clk;
972 curBit=*invert;
973 dest[bitnum]=curBit;
974 ignorewin=*clk/8;
975 bitnum++;
976 //else if no bars found
977 }else if(dest[i]<high && dest[i]>low) {
978 if (ignorewin==0){
979 bitHigh=0;
980 }else ignorewin--;
981 //if we are past a clock point
982 if (i>=lastBit+*clk+tol){ //clock val
983 lastBit+=*clk;
984 dest[bitnum]=curBit;
985 bitnum++;
986 }
987 //else if bar found but we are not at a clock bit and we did not just have a clock bit
988 }else if ((dest[i]>=high || dest[i]<=low) && ((i<lastBit+*clk-tol) || (i>lastBit+*clk+tol)) && (bitHigh==0)){
989 //error bar found no clock...
990 bitHigh=1;
991 dest[bitnum]=77;
992 bitnum++;
993 errCnt++;
994 }
995 if (bitnum >=1000) break;
996 }
997 *size=bitnum;
998 } else{
999 *size=bitnum;
1000 *clk=bestStart;
1001 return -1;
1002 }
1003
1004 if (bitnum>16){
1005 *size=bitnum;
1006 } else return -1;
1007 return errCnt;
1008}
1009
Impressum, Datenschutz