]> git.zerfleddert.de Git - proxmark3-svn/blame - common/lfdemod.c
Revert "Do not treat gcc's "misleading-indentation" warning as error."
[proxmark3-svn] / common / lfdemod.c
CommitLineData
eb191de6 1//-----------------------------------------------------------------------------
ba1a299c 2// Copyright (C) 2014
eb191de6 3//
4// This code is licensed to you under the terms of the GNU GPL, version 2 or,
5// at your option, any later version. See the LICENSE.txt file for the text of
6// the license.
7//-----------------------------------------------------------------------------
1e090a61 8// Low frequency demod/decode commands
eb191de6 9//-----------------------------------------------------------------------------
10
eb191de6 11#include <stdlib.h>
eb191de6 12#include "lfdemod.h"
d1869c33 13#include <string.h>
6fe5c94b 14
d1869c33 15//to allow debug print calls when used not on device
6fe5c94b 16void dummy(char *fmt, ...){}
17
18#ifndef ON_DEVICE
19#include "ui.h"
709665b5 20#include "cmdparser.h"
21#include "cmddata.h"
6fe5c94b 22#define prnt PrintAndLog
23#else
709665b5 24 uint8_t g_debugMode=0;
6fe5c94b 25#define prnt dummy
26#endif
6fe5c94b 27
a1d17964 28uint8_t justNoise(uint8_t *BitStream, size_t size)
29{
30 static const uint8_t THRESHOLD = 123;
31 //test samples are not just noise
32 uint8_t justNoise1 = 1;
33 for(size_t idx=0; idx < size && justNoise1 ;idx++){
34 justNoise1 = BitStream[idx] < THRESHOLD;
35 }
36 return justNoise1;
37}
38
1e090a61 39//by marshmellow
872e3d4d 40//get high and low values of a wave with passed in fuzz factor. also return noise test = 1 for passed or 0 for only noise
1e090a61 41int getHiLo(uint8_t *BitStream, size_t size, int *high, int *low, uint8_t fuzzHi, uint8_t fuzzLo)
42{
43 *high=0;
44 *low=255;
45 // get high and low thresholds
2eec55c8 46 for (size_t i=0; i < size; i++){
1e090a61 47 if (BitStream[i] > *high) *high = BitStream[i];
48 if (BitStream[i] < *low) *low = BitStream[i];
49 }
50 if (*high < 123) return -1; // just noise
75cbbe9a 51 *high = ((*high-128)*fuzzHi + 12800)/100;
52 *low = ((*low-128)*fuzzLo + 12800)/100;
1e090a61 53 return 1;
54}
55
a1d17964 56// by marshmellow
57// pass bits to be tested in bits, length bits passed in bitLen, and parity type (even=0 | odd=1) in pType
58// returns 1 if passed
59uint8_t parityTest(uint32_t bits, uint8_t bitLen, uint8_t pType)
60{
61 uint8_t ans = 0;
62 for (uint8_t i = 0; i < bitLen; i++){
63 ans ^= ((bits >> i) & 1);
64 }
f3bf15e4 65 //PrintAndLog("DEBUG: ans: %d, ptype: %d",ans,pType);
a1d17964 66 return (ans == pType);
67}
68
709665b5 69// by marshmellow
70// takes a array of binary values, start position, length of bits per parity (includes parity bit),
88e85bde 71// Parity Type (1 for odd; 0 for even; 2 for Always 1's; 3 for Always 0's), and binary Length (length to run)
709665b5 72size_t removeParity(uint8_t *BitStream, size_t startIdx, uint8_t pLen, uint8_t pType, size_t bLen)
73{
74 uint32_t parityWd = 0;
75 size_t j = 0, bitCnt = 0;
76 for (int word = 0; word < (bLen); word+=pLen){
77 for (int bit=0; bit < pLen; bit++){
78 parityWd = (parityWd << 1) | BitStream[startIdx+word+bit];
79 BitStream[j++] = (BitStream[startIdx+word+bit]);
80 }
81 j--; // overwrite parity with next data
82 // if parity fails then return 0
88e85bde 83 switch (pType) {
84 case 3: if (BitStream[j]==1) return 0; break; //should be 0 spacer bit
85 case 2: if (BitStream[j]==0) return 0; break; //should be 1 spacer bit
86 default: //test parity
87 if (parityTest(parityWd, pLen, pType) == 0) return 0; break;
709665b5 88 }
89 bitCnt+=(pLen-1);
90 parityWd = 0;
91 }
92 // if we got here then all the parities passed
93 //return ID start index and size
94 return bitCnt;
95}
96
97// by marshmellow
98// takes a array of binary values, length of bits per parity (includes parity bit),
88e85bde 99// Parity Type (1 for odd; 0 for even; 2 Always 1's; 3 Always 0's), and binary Length (length to run)
100// Make sure *dest is long enough to store original sourceLen + #_of_parities_to_be_added
709665b5 101size_t addParity(uint8_t *BitSource, uint8_t *dest, uint8_t sourceLen, uint8_t pLen, uint8_t pType)
102{
103 uint32_t parityWd = 0;
104 size_t j = 0, bitCnt = 0;
105 for (int word = 0; word < sourceLen; word+=pLen-1) {
106 for (int bit=0; bit < pLen-1; bit++){
107 parityWd = (parityWd << 1) | BitSource[word+bit];
108 dest[j++] = (BitSource[word+bit]);
109 }
110 // if parity fails then return 0
88e85bde 111 switch (pType) {
112 case 3: dest[j++]=0; break; // marker bit which should be a 0
113 case 2: dest[j++]=1; break; // marker bit which should be a 1
114 default:
115 dest[j++] = parityTest(parityWd, pLen-1, pType) ^ 1;
116 break;
709665b5 117 }
118 bitCnt += pLen;
119 parityWd = 0;
120 }
121 // if we got here then all the parities passed
122 //return ID start index and size
123 return bitCnt;
124}
125
126uint32_t bytebits_to_byte(uint8_t *src, size_t numbits)
127{
128 uint32_t num = 0;
129 for(int i = 0 ; i < numbits ; i++)
130 {
131 num = (num << 1) | (*src);
132 src++;
133 }
134 return num;
135}
136
137//least significant bit first
138uint32_t bytebits_to_byteLSBF(uint8_t *src, size_t numbits)
139{
140 uint32_t num = 0;
141 for(int i = 0 ; i < numbits ; i++)
142 {
143 num = (num << 1) | *(src + (numbits-(i+1)));
144 }
145 return num;
146}
147
a1d17964 148//by marshmellow
2147c307 149//search for given preamble in given BitStream and return success=1 or fail=0 and startIndex and length
a1d17964 150uint8_t preambleSearch(uint8_t *BitStream, uint8_t *preamble, size_t pLen, size_t *size, size_t *startIdx)
151{
e0165dcf 152 uint8_t foundCnt=0;
153 for (int idx=0; idx < *size - pLen; idx++){
154 if (memcmp(BitStream+idx, preamble, pLen) == 0){
155 //first index found
156 foundCnt++;
157 if (foundCnt == 1){
158 *startIdx = idx;
159 }
160 if (foundCnt == 2){
161 *size = idx - *startIdx;
162 return 1;
163 }
164 }
165 }
166 return 0;
a1d17964 167}
168
2147c307 169//by marshmellow
170//takes 1s and 0s and searches for EM410x format - output EM ID
171uint8_t Em410xDecode(uint8_t *BitStream, size_t *size, size_t *startIdx, uint32_t *hi, uint64_t *lo)
172{
e0165dcf 173 //no arguments needed - built this way in case we want this to be a direct call from "data " cmds in the future
174 // otherwise could be a void with no arguments
175 //set defaults
176 uint32_t i = 0;
2767fc02 177 if (BitStream[1]>1) return 0; //allow only 1s and 0s
178
e0165dcf 179 // 111111111 bit pattern represent start of frame
180 // include 0 in front to help get start pos
181 uint8_t preamble[] = {0,1,1,1,1,1,1,1,1,1};
182 uint32_t idx = 0;
183 uint32_t parityBits = 0;
184 uint8_t errChk = 0;
185 uint8_t FmtLen = 10;
186 *startIdx = 0;
187 errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, startIdx);
188 if (errChk == 0 || *size < 64) return 0;
189 if (*size > 64) FmtLen = 22;
190 *startIdx += 1; //get rid of 0 from preamble
191 idx = *startIdx + 9;
192 for (i=0; i<FmtLen; i++){ //loop through 10 or 22 sets of 5 bits (50-10p = 40 bits or 88 bits)
193 parityBits = bytebits_to_byte(BitStream+(i*5)+idx,5);
2eec55c8 194 //check even parity - quit if failed
195 if (parityTest(parityBits, 5, 0) == 0) return 0;
e0165dcf 196 //set uint64 with ID from BitStream
197 for (uint8_t ii=0; ii<4; ii++){
198 *hi = (*hi << 1) | (*lo >> 63);
199 *lo = (*lo << 1) | (BitStream[(i*5)+ii+idx]);
200 }
201 }
202 if (errChk != 0) return 1;
203 //skip last 5 bit parity test for simplicity.
204 // *size = 64 | 128;
205 return 0;
2147c307 206}
207
fef74fdc 208//by marshmellow
209//demodulates strong heavily clipped samples
23f0a7d8 210int cleanAskRawDemod(uint8_t *BinStream, size_t *size, int clk, int invert, int high, int low)
211{
212 size_t bitCnt=0, smplCnt=0, errCnt=0;
213 uint8_t waveHigh = 0;
23f0a7d8 214 for (size_t i=0; i < *size; i++){
215 if (BinStream[i] >= high && waveHigh){
216 smplCnt++;
217 } else if (BinStream[i] <= low && !waveHigh){
218 smplCnt++;
219 } else { //transition
220 if ((BinStream[i] >= high && !waveHigh) || (BinStream[i] <= low && waveHigh)){
221 if (smplCnt > clk-(clk/4)-1) { //full clock
222 if (smplCnt > clk + (clk/4)+1) { //too many samples
223 errCnt++;
d1869c33 224 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
2767fc02 225 BinStream[bitCnt++]=7;
23f0a7d8 226 } else if (waveHigh) {
227 BinStream[bitCnt++] = invert;
228 BinStream[bitCnt++] = invert;
229 } else if (!waveHigh) {
230 BinStream[bitCnt++] = invert ^ 1;
231 BinStream[bitCnt++] = invert ^ 1;
232 }
233 waveHigh ^= 1;
234 smplCnt = 0;
235 } else if (smplCnt > (clk/2) - (clk/4)-1) {
236 if (waveHigh) {
237 BinStream[bitCnt++] = invert;
238 } else if (!waveHigh) {
239 BinStream[bitCnt++] = invert ^ 1;
240 }
241 waveHigh ^= 1;
242 smplCnt = 0;
243 } else if (!bitCnt) {
244 //first bit
245 waveHigh = (BinStream[i] >= high);
246 smplCnt = 1;
247 } else {
248 smplCnt++;
249 //transition bit oops
250 }
251 } else { //haven't hit new high or new low yet
252 smplCnt++;
253 }
254 }
255 }
256 *size = bitCnt;
257 return errCnt;
258}
259
eb191de6 260//by marshmellow
fef74fdc 261void askAmp(uint8_t *BitStream, size_t size)
262{
16ea2b8c 263 uint8_t Last = 128;
fef74fdc 264 for(size_t i = 1; i<size; i++){
265 if (BitStream[i]-BitStream[i-1]>=30) //large jump up
16ea2b8c 266 Last = 255;
267 else if(BitStream[i-1]-BitStream[i]>=20) //large jump down
268 Last = 0;
269
270 BitStream[i-1] = Last;
fef74fdc 271 }
272 return;
273}
274
275//by marshmellow
276//attempts to demodulate ask modulations, askType == 0 for ask/raw, askType==1 for ask/manchester
277int askdemod(uint8_t *BinStream, size_t *size, int *clk, int *invert, int maxErr, uint8_t amp, uint8_t askType)
eb191de6 278{
fef74fdc 279 if (*size==0) return -1;
6e984446 280 int start = DetectASKClock(BinStream, *size, clk, maxErr); //clock default
2eec55c8 281 if (*clk==0 || start < 0) return -3;
fef74fdc 282 if (*invert != 1) *invert = 0;
283 if (amp==1) askAmp(BinStream, *size);
d1869c33 284 if (g_debugMode==2) prnt("DEBUG ASK: clk %d, beststart %d", *clk, start);
fef74fdc 285
2eec55c8 286 uint8_t initLoopMax = 255;
287 if (initLoopMax > *size) initLoopMax = *size;
ba1a299c 288 // Detect high and lows
fef74fdc 289 //25% clip in case highs and lows aren't clipped [marshmellow]
2eec55c8 290 int high, low;
fef74fdc 291 if (getHiLo(BinStream, initLoopMax, &high, &low, 75, 75) < 1)
292 return -2; //just noise
ba1a299c 293
fef74fdc 294 size_t errCnt = 0;
23f0a7d8 295 // if clean clipped waves detected run alternate demod
296 if (DetectCleanAskWave(BinStream, *size, high, low)) {
d1869c33 297 if (g_debugMode==2) prnt("DEBUG ASK: Clean Wave Detected - using clean wave demod");
fef74fdc 298 errCnt = cleanAskRawDemod(BinStream, size, *clk, *invert, high, low);
299 if (askType) //askman
300 return manrawdecode(BinStream, size, 0);
301 else //askraw
302 return errCnt;
23f0a7d8 303 }
d1869c33 304 if (g_debugMode==2) prnt("DEBUG ASK: Weak Wave Detected - using weak wave demod");
23f0a7d8 305
d1869c33 306 int lastBit; //set first clock check - can go negative
fef74fdc 307 size_t i, bitnum = 0; //output counter
308 uint8_t midBit = 0;
2eec55c8 309 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
d1869c33 310 if (*clk <= 32) tol = 1; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
311 size_t MaxBits = 3072; //max bits to collect
6e984446 312 lastBit = start - *clk;
fef74fdc 313
6e984446 314 for (i = start; i < *size; ++i) {
fef74fdc 315 if (i-lastBit >= *clk-tol){
316 if (BinStream[i] >= high) {
317 BinStream[bitnum++] = *invert;
318 } else if (BinStream[i] <= low) {
319 BinStream[bitnum++] = *invert ^ 1;
320 } else if (i-lastBit >= *clk+tol) {
321 if (bitnum > 0) {
d1869c33 322 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
fef74fdc 323 BinStream[bitnum++]=7;
324 errCnt++;
325 }
326 } else { //in tolerance - looking for peak
327 continue;
328 }
329 midBit = 0;
2eec55c8 330 lastBit += *clk;
fef74fdc 331 } else if (i-lastBit >= (*clk/2-tol) && !midBit && !askType){
332 if (BinStream[i] >= high) {
333 BinStream[bitnum++] = *invert;
334 } else if (BinStream[i] <= low) {
335 BinStream[bitnum++] = *invert ^ 1;
336 } else if (i-lastBit >= *clk/2+tol) {
337 BinStream[bitnum] = BinStream[bitnum-1];
338 bitnum++;
339 } else { //in tolerance - looking for peak
340 continue;
341 }
342 midBit = 1;
2eec55c8 343 }
344 if (bitnum >= MaxBits) break;
ba1a299c 345 }
2eec55c8 346 *size = bitnum;
6e984446 347 return errCnt;
eb191de6 348}
349
350//by marshmellow
351//take 10 and 01 and manchester decode
352//run through 2 times and take least errCnt
fef74fdc 353int manrawdecode(uint8_t * BitStream, size_t *size, uint8_t invert)
eb191de6 354{
13d77ef9 355 uint16_t bitnum=0, MaxBits = 512, errCnt = 0;
356 size_t i, ii;
357 uint16_t bestErr = 1000, bestRun = 0;
fef74fdc 358 if (*size < 16) return -1;
2767fc02 359 //find correct start position [alignment]
13d77ef9 360 for (ii=0;ii<2;++ii){
fef74fdc 361 for (i=ii; i<*size-3; i+=2)
2eec55c8 362 if (BitStream[i]==BitStream[i+1])
ba1a299c 363 errCnt++;
2eec55c8 364
ba1a299c 365 if (bestErr>errCnt){
366 bestErr=errCnt;
367 bestRun=ii;
368 }
369 errCnt=0;
370 }
2767fc02 371 //decode
fef74fdc 372 for (i=bestRun; i < *size-3; i+=2){
23f0a7d8 373 if(BitStream[i] == 1 && (BitStream[i+1] == 0)){
fef74fdc 374 BitStream[bitnum++]=invert;
23f0a7d8 375 } else if((BitStream[i] == 0) && BitStream[i+1] == 1){
fef74fdc 376 BitStream[bitnum++]=invert^1;
23f0a7d8 377 } else {
2767fc02 378 BitStream[bitnum++]=7;
ba1a299c 379 }
23f0a7d8 380 if(bitnum>MaxBits) break;
ba1a299c 381 }
23f0a7d8 382 *size=bitnum;
2eec55c8 383 return bestErr;
f822a063 384}
385
3606ac0a 386uint32_t manchesterEncode2Bytes(uint16_t datain) {
387 uint32_t output = 0;
388 uint8_t curBit = 0;
389 for (uint8_t i=0; i<16; i++) {
390 curBit = (datain >> (15-i) & 1);
391 output |= (1<<(((15-i)*2)+curBit));
392 }
393 return output;
394}
395
fef74fdc 396//by marshmellow
397//encode binary data into binary manchester
398int ManchesterEncode(uint8_t *BitStream, size_t size)
399{
400 size_t modIdx=20000, i=0;
401 if (size>modIdx) return -1;
402 for (size_t idx=0; idx < size; idx++){
403 BitStream[idx+modIdx++] = BitStream[idx];
404 BitStream[idx+modIdx++] = BitStream[idx]^1;
405 }
406 for (; i<(size*2); i++){
407 BitStream[i] = BitStream[i+20000];
408 }
409 return i;
410}
411
f822a063 412//by marshmellow
2147c307 413//take 01 or 10 = 1 and 11 or 00 = 0
414//check for phase errors - should never have 111 or 000 should be 01001011 or 10110100 for 1010
13d77ef9 415//decodes biphase or if inverted it is AKA conditional dephase encoding AKA differential manchester encoding
1e090a61 416int BiphaseRawDecode(uint8_t *BitStream, size_t *size, int offset, int invert)
f822a063 417{
2eec55c8 418 uint16_t bitnum = 0;
419 uint16_t errCnt = 0;
420 size_t i = offset;
2147c307 421 uint16_t MaxBits=512;
422 //if not enough samples - error
423 if (*size < 51) return -1;
424 //check for phase change faults - skip one sample if faulty
425 uint8_t offsetA = 1, offsetB = 1;
426 for (; i<48; i+=2){
427 if (BitStream[i+1]==BitStream[i+2]) offsetA=0;
428 if (BitStream[i+2]==BitStream[i+3]) offsetB=0;
429 }
430 if (!offsetA && offsetB) offset++;
431 for (i=offset; i<*size-3; i+=2){
432 //check for phase error
13d77ef9 433 if (BitStream[i+1]==BitStream[i+2]) {
2767fc02 434 BitStream[bitnum++]=7;
2147c307 435 errCnt++;
436 }
ba1a299c 437 if((BitStream[i]==1 && BitStream[i+1]==0) || (BitStream[i]==0 && BitStream[i+1]==1)){
1e090a61 438 BitStream[bitnum++]=1^invert;
ba1a299c 439 } else if((BitStream[i]==0 && BitStream[i+1]==0) || (BitStream[i]==1 && BitStream[i+1]==1)){
1e090a61 440 BitStream[bitnum++]=invert;
ba1a299c 441 } else {
2767fc02 442 BitStream[bitnum++]=7;
ba1a299c 443 errCnt++;
444 }
6de43508 445 if(bitnum>MaxBits) break;
ba1a299c 446 }
447 *size=bitnum;
448 return errCnt;
eb191de6 449}
450
fef74fdc 451// by marshmellow
11081e04 452// demod gProxIIDemod
453// error returns as -x
454// success returns start position in BitStream
455// BitStream must contain previously askrawdemod and biphasedemoded data
456int gProxII_Demod(uint8_t BitStream[], size_t *size)
457{
458 size_t startIdx=0;
459 uint8_t preamble[] = {1,1,1,1,1,0};
460
461 uint8_t errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, &startIdx);
462 if (errChk == 0) return -3; //preamble not found
463 if (*size != 96) return -2; //should have found 96 bits
464 //check first 6 spacer bits to verify format
465 if (!BitStream[startIdx+5] && !BitStream[startIdx+10] && !BitStream[startIdx+15] && !BitStream[startIdx+20] && !BitStream[startIdx+25] && !BitStream[startIdx+30]){
466 //confirmed proper separator bits found
467 //return start position
468 return (int) startIdx;
469 }
cf194819 470 return -5; //spacer bits not found - not a valid gproxII
11081e04 471}
472
cf194819 473//translate wave to 11111100000 (1 for each short wave [higher freq] 0 for each long wave [lower freq])
f822a063 474size_t fsk_wave_demod(uint8_t * dest, size_t size, uint8_t fchigh, uint8_t fclow)
eb191de6 475{
2eec55c8 476 size_t last_transition = 0;
477 size_t idx = 1;
ac3ba7ee 478 //uint32_t maxVal=0;
ba1a299c 479 if (fchigh==0) fchigh=10;
480 if (fclow==0) fclow=8;
84871873 481 //set the threshold close to 0 (graph) or 128 std to avoid static
482 uint8_t threshold_value = 123;
f4eadf8a 483 size_t preLastSample = 0;
484 size_t LastSample = 0;
485 size_t currSample = 0;
ba1a299c 486 // sync to first lo-hi transition, and threshold
487
488 // Need to threshold first sample
6fe5c94b 489 // skip 160 samples to allow antenna/samples to settle
490 if(dest[160] < threshold_value) dest[0] = 0;
ba1a299c 491 else dest[0] = 1;
492
493 size_t numBits = 0;
494 // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
63744b56 495 // or 10 (fc/10) cycles but in practice due to noise etc we may end up with anywhere
ba1a299c 496 // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
cf194819 497 // (could also be fc/5 && fc/7 for fsk1 = 4-9)
6fe5c94b 498 for(idx = 161; idx < size-20; idx++) {
ba1a299c 499 // threshold current value
500
501 if (dest[idx] < threshold_value) dest[idx] = 0;
502 else dest[idx] = 1;
503
504 // Check for 0->1 transition
cf194819 505 if (dest[idx-1] < dest[idx]) {
f4eadf8a 506 preLastSample = LastSample;
507 LastSample = currSample;
508 currSample = idx-last_transition;
cf194819 509 if (currSample < (fclow-2)) { //0-5 = garbage noise (or 0-3)
ba1a299c 510 //do nothing with extra garbage
cf194819 511 } else if (currSample < (fchigh-1)) { //6-8 = 8 sample waves (or 3-6 = 5)
512 //correct previous 9 wave surrounded by 8 waves (or 6 surrounded by 5)
db829602 513 if (LastSample > (fchigh-2) && (preLastSample < (fchigh-1) || preLastSample == 0 )){
cf194819 514 dest[numBits-1]=1;
f4eadf8a 515 }
2eec55c8 516 dest[numBits++]=1;
f4eadf8a 517
cf194819 518 } else if (currSample > (fchigh) && !numBits) { //12 + and first bit = unusable garbage
13d77ef9 519 //do nothing with beginning garbage
cf194819 520 } else if (currSample == (fclow+1) && LastSample == (fclow-1)) { // had a 7 then a 9 should be two 8's (or 4 then a 6 should be two 5's)
f4eadf8a 521 dest[numBits++]=1;
cf194819 522 } else { //9+ = 10 sample waves (or 6+ = 7)
2eec55c8 523 dest[numBits++]=0;
ba1a299c 524 }
525 last_transition = idx;
ba1a299c 526 }
527 }
528 return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
eb191de6 529}
530
ba1a299c 531//translate 11111100000 to 10
cf194819 532//rfLen = clock, fchigh = larger field clock, fclow = smaller field clock
2eec55c8 533size_t aggregate_bits(uint8_t *dest, size_t size, uint8_t rfLen,
e0165dcf 534 uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 535{
ba1a299c 536 uint8_t lastval=dest[0];
2eec55c8 537 size_t idx=0;
ba1a299c 538 size_t numBits=0;
539 uint32_t n=1;
ba1a299c 540 for( idx=1; idx < size; idx++) {
13d77ef9 541 n++;
cf194819 542 if (dest[idx]==lastval) continue; //skip until we hit a transition
2eec55c8 543
cf194819 544 //find out how many bits (n) we collected
ba1a299c 545 //if lastval was 1, we have a 1->0 crossing
13d77ef9 546 if (dest[idx-1]==1) {
6fe5c94b 547 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 548 } else {// 0->1 crossing
75cbbe9a 549 n = (n * fchigh + rfLen/2) / rfLen;
ba1a299c 550 }
551 if (n == 0) n = 1;
552
cf194819 553 //add to our destination the bits we collected
2eec55c8 554 memset(dest+numBits, dest[idx-1]^invert , n);
555 numBits += n;
ba1a299c 556 n=0;
557 lastval=dest[idx];
558 }//end for
13d77ef9 559 // if valid extra bits at the end were all the same frequency - add them in
75cbbe9a 560 if (n > rfLen/fchigh) {
13d77ef9 561 if (dest[idx-2]==1) {
75cbbe9a 562 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 563 } else {
75cbbe9a 564 n = (n * fchigh + rfLen/2) / rfLen;
13d77ef9 565 }
2eec55c8 566 memset(dest+numBits, dest[idx-1]^invert , n);
13d77ef9 567 numBits += n;
568 }
ba1a299c 569 return numBits;
eb191de6 570}
6fe5c94b 571
eb191de6 572//by marshmellow (from holiman's base)
573// full fsk demod from GraphBuffer wave to decoded 1s and 0s (no mandemod)
f822a063 574int fskdemod(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 575{
ba1a299c 576 // FSK demodulator
577 size = fsk_wave_demod(dest, size, fchigh, fclow);
2eec55c8 578 size = aggregate_bits(dest, size, rfLen, invert, fchigh, fclow);
ba1a299c 579 return size;
eb191de6 580}
a1d17964 581
eb191de6 582// loop to get raw HID waveform then FSK demodulate the TAG ID from it
ec75f5c1 583int HIDdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
eb191de6 584{
e0165dcf 585 if (justNoise(dest, *size)) return -1;
586
587 size_t numStart=0, size2=*size, startIdx=0;
588 // FSK demodulator
589 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
2eec55c8 590 if (*size < 96*2) return -2;
e0165dcf 591 // 00011101 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
592 uint8_t preamble[] = {0,0,0,1,1,1,0,1};
593 // find bitstring in array
594 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
595 if (errChk == 0) return -3; //preamble not found
596
597 numStart = startIdx + sizeof(preamble);
598 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
599 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
600 if (dest[idx] == dest[idx+1]){
601 return -4; //not manchester data
602 }
603 *hi2 = (*hi2<<1)|(*hi>>31);
604 *hi = (*hi<<1)|(*lo>>31);
605 //Then, shift in a 0 or one into low
606 if (dest[idx] && !dest[idx+1]) // 1 0
607 *lo=(*lo<<1)|1;
608 else // 0 1
609 *lo=(*lo<<1)|0;
610 }
611 return (int)startIdx;
eb191de6 612}
613
ec75f5c1 614// loop to get raw paradox waveform then FSK demodulate the TAG ID from it
a1d17964 615int ParadoxdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
ec75f5c1 616{
a1d17964 617 if (justNoise(dest, *size)) return -1;
618
619 size_t numStart=0, size2=*size, startIdx=0;
ec75f5c1 620 // FSK demodulator
a1d17964 621 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
622 if (*size < 96) return -2;
ec75f5c1 623
a1d17964 624 // 00001111 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
625 uint8_t preamble[] = {0,0,0,0,1,1,1,1};
626
627 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
628 if (errChk == 0) return -3; //preamble not found
629
630 numStart = startIdx + sizeof(preamble);
631 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
632 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
633 if (dest[idx] == dest[idx+1])
634 return -4; //not manchester data
635 *hi2 = (*hi2<<1)|(*hi>>31);
636 *hi = (*hi<<1)|(*lo>>31);
637 //Then, shift in a 0 or one into low
638 if (dest[idx] && !dest[idx+1]) // 1 0
639 *lo=(*lo<<1)|1;
640 else // 0 1
641 *lo=(*lo<<1)|0;
ec75f5c1 642 }
a1d17964 643 return (int)startIdx;
ec75f5c1 644}
645
eb191de6 646int IOdemodFSK(uint8_t *dest, size_t size)
647{
a1d17964 648 if (justNoise(dest, size)) return -1;
ba1a299c 649 //make sure buffer has data
a1d17964 650 if (size < 66*64) return -2;
ba1a299c 651 // FSK demodulator
a1d17964 652 size = fskdemod(dest, size, 64, 1, 10, 8); // FSK2a RF/64
653 if (size < 65) return -3; //did we get a good demod?
ba1a299c 654 //Index map
655 //0 10 20 30 40 50 60
656 //| | | | | | |
657 //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
658 //-----------------------------------------------------------------------------
659 //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
660 //
661 //XSF(version)facility:codeone+codetwo
662 //Handle the data
a1d17964 663 size_t startIdx = 0;
664 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,1};
665 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), &size, &startIdx);
666 if (errChk == 0) return -4; //preamble not found
eb191de6 667
a1d17964 668 if (!dest[startIdx+8] && dest[startIdx+17]==1 && dest[startIdx+26]==1 && dest[startIdx+35]==1 && dest[startIdx+44]==1 && dest[startIdx+53]==1){
669 //confirmed proper separator bits found
670 //return start position
671 return (int) startIdx;
1e090a61 672 }
a1d17964 673 return -5;
415274a7 674}
675
676// by marshmellow
677// find viking preamble 0xF200 in already demoded data
678int VikingDemod_AM(uint8_t *dest, size_t *size) {
415274a7 679 //make sure buffer has data
680 if (*size < 64*2) return -2;
681
682 size_t startIdx = 0;
683 uint8_t preamble[] = {1,1,1,1,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
684 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
685 if (errChk == 0) return -4; //preamble not found
3ea7254a 686 uint32_t checkCalc = bytebits_to_byte(dest+startIdx,8) ^ bytebits_to_byte(dest+startIdx+8,8) ^ bytebits_to_byte(dest+startIdx+16,8)
687 ^ bytebits_to_byte(dest+startIdx+24,8) ^ bytebits_to_byte(dest+startIdx+32,8) ^ bytebits_to_byte(dest+startIdx+40,8)
688 ^ bytebits_to_byte(dest+startIdx+48,8) ^ bytebits_to_byte(dest+startIdx+56,8);
689 if ( checkCalc != 0xA8 ) return -5;
14331320 690 if (*size != 64) return -6;
415274a7 691 //return start position
692 return (int) startIdx;
1e090a61 693}
694
6923d3f1 695// find presco preamble 0x10D in already demoded data
696int PrescoDemod(uint8_t *dest, size_t *size) {
697 //make sure buffer has data
698 if (*size < 64*2) return -2;
699
700 size_t startIdx = 0;
701 uint8_t preamble[] = {1,0,0,0,0,1,1,0,1,0,0,0,0,0,0,0,0,0,0,0};
702 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
703 if (errChk == 0) return -4; //preamble not found
704 //return start position
705 return (int) startIdx;
706}
707
04bb0567 708// Ask/Biphase Demod then try to locate an ISO 11784/85 ID
709// BitStream must contain previously askrawdemod and biphasedemoded data
b2c330b3 710int FDXBdemodBI(uint8_t *dest, size_t *size)
04bb0567 711{
712 //make sure buffer has enough data
713 if (*size < 128) return -1;
714
715 size_t startIdx = 0;
716 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,1};
717
718 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
719 if (errChk == 0) return -2; //preamble not found
720 return (int)startIdx;
721}
722
1e090a61 723// by marshmellow
724// FSK Demod then try to locate an AWID ID
a1d17964 725int AWIDdemodFSK(uint8_t *dest, size_t *size)
1e090a61 726{
a1d17964 727 //make sure buffer has enough data
728 if (*size < 96*50) return -1;
729
730 if (justNoise(dest, *size)) return -2;
1e090a61 731
732 // FSK demodulator
a1d17964 733 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
734 if (*size < 96) return -3; //did we get a good demod?
735
736 uint8_t preamble[] = {0,0,0,0,0,0,0,1};
737 size_t startIdx = 0;
738 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
739 if (errChk == 0) return -4; //preamble not found
740 if (*size != 96) return -5;
741 return (int)startIdx;
1e090a61 742}
743
744// by marshmellow
6fe5c94b 745// FSK Demod then try to locate a Farpointe Data (pyramid) ID
a1d17964 746int PyramiddemodFSK(uint8_t *dest, size_t *size)
1e090a61 747{
f3bf15e4 748 //make sure buffer has data
749 if (*size < 128*50) return -5;
a1d17964 750
f3bf15e4 751 //test samples are not just noise
752 if (justNoise(dest, *size)) return -1;
1e090a61 753
f3bf15e4 754 // FSK demodulator
755 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
756 if (*size < 128) return -2; //did we get a good demod?
a1d17964 757
f3bf15e4 758 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
a1d17964 759 size_t startIdx = 0;
760 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
761 if (errChk == 0) return -4; //preamble not found
762 if (*size != 128) return -3;
763 return (int)startIdx;
1e090a61 764}
765
fef74fdc 766// by marshmellow
767// to detect a wave that has heavily clipped (clean) samples
cc15a118 768uint8_t DetectCleanAskWave(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
6de43508 769{
6fe5c94b 770 bool allArePeaks = true;
6de43508 771 uint16_t cntPeaks=0;
db829602 772 size_t loopEnd = 512+160;
1fbf8956 773 if (loopEnd > size) loopEnd = size;
db829602 774 for (size_t i=160; i<loopEnd; i++){
6de43508 775 if (dest[i]>low && dest[i]<high)
6fe5c94b 776 allArePeaks = false;
6de43508 777 else
778 cntPeaks++;
779 }
6fe5c94b 780 if (!allArePeaks){
781 if (cntPeaks > 300) return true;
6de43508 782 }
6fe5c94b 783 return allArePeaks;
6de43508 784}
2eec55c8 785// by marshmellow
786// to help detect clocks on heavily clipped samples
cc15a118 787// based on count of low to low
788int DetectStrongAskClock(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
13d77ef9 789{
cc15a118 790 uint8_t fndClk[] = {8,16,32,40,50,64,128};
791 size_t startwave;
db829602 792 size_t i = 100;
cc15a118 793 size_t minClk = 255;
794 // get to first full low to prime loop and skip incomplete first pulse
795 while ((dest[i] < high) && (i < size))
796 ++i;
797 while ((dest[i] > low) && (i < size))
798 ++i;
799
800 // loop through all samples
801 while (i < size) {
802 // measure from low to low
803 while ((dest[i] > low) && (i < size))
804 ++i;
805 startwave= i;
806 while ((dest[i] < high) && (i < size))
807 ++i;
808 while ((dest[i] > low) && (i < size))
809 ++i;
810 //get minimum measured distance
811 if (i-startwave < minClk && i < size)
812 minClk = i - startwave;
13d77ef9 813 }
cc15a118 814 // set clock
709665b5 815 if (g_debugMode==2) prnt("DEBUG ASK: detectstrongASKclk smallest wave: %d",minClk);
cc15a118 816 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
817 if (minClk >= fndClk[clkCnt]-(fndClk[clkCnt]/8) && minClk <= fndClk[clkCnt]+1)
818 return fndClk[clkCnt];
13d77ef9 819 }
cc15a118 820 return 0;
13d77ef9 821}
822
eb191de6 823// by marshmellow
824// not perfect especially with lower clocks or VERY good antennas (heavy wave clipping)
825// maybe somehow adjust peak trimming value based on samples to fix?
6de43508 826// return start index of best starting position for that clock and return clock (by reference)
827int DetectASKClock(uint8_t dest[], size_t size, int *clock, int maxErr)
eb191de6 828{
6e984446 829 size_t i=1;
cc15a118 830 uint8_t clk[] = {255,8,16,32,40,50,64,100,128,255};
831 uint8_t clkEnd = 9;
2eec55c8 832 uint8_t loopCnt = 255; //don't need to loop through entire array...
db829602 833 if (size <= loopCnt+60) return -1; //not enough samples
834 size -= 60; //sometimes there is a strange end wave - filter out this....
6e984446 835 //if we already have a valid clock
836 uint8_t clockFnd=0;
cc15a118 837 for (;i<clkEnd;++i)
838 if (clk[i] == *clock) clockFnd = i;
6e984446 839 //clock found but continue to find best startpos
e0165dcf 840
841 //get high and low peak
842 int peak, low;
2eec55c8 843 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return -1;
e0165dcf 844
845 //test for large clean peaks
cc15a118 846 if (!clockFnd){
847 if (DetectCleanAskWave(dest, size, peak, low)==1){
848 int ans = DetectStrongAskClock(dest, size, peak, low);
709665b5 849 if (g_debugMode==2) prnt("DEBUG ASK: detectaskclk Clean Ask Wave Detected: clk %d",ans);
cc15a118 850 for (i=clkEnd-1; i>0; i--){
851 if (clk[i] == ans) {
852 *clock = ans;
853 //clockFnd = i;
854 return 0; // for strong waves i don't use the 'best start position' yet...
855 //break; //clock found but continue to find best startpos [not yet]
856 }
e0165dcf 857 }
858 }
859 }
2eec55c8 860 uint8_t ii;
861 uint8_t clkCnt, tol = 0;
862 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
863 uint8_t bestStart[]={0,0,0,0,0,0,0,0,0};
864 size_t errCnt = 0;
865 size_t arrLoc, loopEnd;
6e984446 866
cc15a118 867 if (clockFnd>0) {
868 clkCnt = clockFnd;
869 clkEnd = clockFnd+1;
870 }
871 else clkCnt=1;
872
873 //test each valid clock from smallest to greatest to see which lines up
874 for(; clkCnt < clkEnd; clkCnt++){
fef74fdc 875 if (clk[clkCnt] <= 32){
e0165dcf 876 tol=1;
877 }else{
878 tol=0;
879 }
2767fc02 880 //if no errors allowed - keep start within the first clock
cc15a118 881 if (!maxErr && size > clk[clkCnt]*2 + tol && clk[clkCnt]<128) loopCnt=clk[clkCnt]*2;
e0165dcf 882 bestErr[clkCnt]=1000;
6e984446 883 //try lining up the peaks by moving starting point (try first few clocks)
cc15a118 884 for (ii=0; ii < loopCnt; ii++){
2eec55c8 885 if (dest[ii] < peak && dest[ii] > low) continue;
886
887 errCnt=0;
888 // now that we have the first one lined up test rest of wave array
889 loopEnd = ((size-ii-tol) / clk[clkCnt]) - 1;
890 for (i=0; i < loopEnd; ++i){
891 arrLoc = ii + (i * clk[clkCnt]);
892 if (dest[arrLoc] >= peak || dest[arrLoc] <= low){
893 }else if (dest[arrLoc-tol] >= peak || dest[arrLoc-tol] <= low){
894 }else if (dest[arrLoc+tol] >= peak || dest[arrLoc+tol] <= low){
895 }else{ //error no peak detected
896 errCnt++;
e0165dcf 897 }
898 }
cc15a118 899 //if we found no errors then we can stop here and a low clock (common clocks)
2eec55c8 900 // this is correct one - return this clock
709665b5 901 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, err %d, startpos %d, endpos %d",clk[clkCnt],errCnt,ii,i);
cc15a118 902 if(errCnt==0 && clkCnt<7) {
903 if (!clockFnd) *clock = clk[clkCnt];
2eec55c8 904 return ii;
905 }
906 //if we found errors see if it is lowest so far and save it as best run
907 if(errCnt<bestErr[clkCnt]){
908 bestErr[clkCnt]=errCnt;
909 bestStart[clkCnt]=ii;
910 }
e0165dcf 911 }
912 }
cc15a118 913 uint8_t iii;
e0165dcf 914 uint8_t best=0;
cc15a118 915 for (iii=1; iii<clkEnd; ++iii){
2eec55c8 916 if (bestErr[iii] < bestErr[best]){
917 if (bestErr[iii] == 0) bestErr[iii]=1;
e0165dcf 918 // current best bit to error ratio vs new bit to error ratio
2eec55c8 919 if ( (size/clk[best])/bestErr[best] < (size/clk[iii])/bestErr[iii] ){
e0165dcf 920 best = iii;
921 }
922 }
709665b5 923 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, # Errors %d, Current Best Clk %d, bestStart %d",clk[iii],bestErr[iii],clk[best],bestStart[best]);
e0165dcf 924 }
cc15a118 925 if (!clockFnd) *clock = clk[best];
e0165dcf 926 return bestStart[best];
eb191de6 927}
ba1a299c 928
929//by marshmellow
6de43508 930//detect psk clock by reading each phase shift
931// a phase shift is determined by measuring the sample length of each wave
932int DetectPSKClock(uint8_t dest[], size_t size, int clock)
ba1a299c 933{
e0165dcf 934 uint8_t clk[]={255,16,32,40,50,64,100,128,255}; //255 is not a valid clock
935 uint16_t loopCnt = 4096; //don't need to loop through entire array...
936 if (size == 0) return 0;
db829602 937 if (size<loopCnt) loopCnt = size-20;
e0165dcf 938
939 //if we already have a valid clock quit
940 size_t i=1;
941 for (; i < 8; ++i)
942 if (clk[i] == clock) return clock;
943
944 size_t waveStart=0, waveEnd=0, firstFullWave=0, lastClkBit=0;
945 uint8_t clkCnt, fc=0, fullWaveLen=0, tol=1;
946 uint16_t peakcnt=0, errCnt=0, waveLenCnt=0;
947 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
948 uint16_t peaksdet[]={0,0,0,0,0,0,0,0,0};
2eec55c8 949 fc = countFC(dest, size, 0);
950 if (fc!=2 && fc!=4 && fc!=8) return -1;
709665b5 951 if (g_debugMode==2) prnt("DEBUG PSK: FC: %d",fc);
e0165dcf 952
953 //find first full wave
db829602 954 for (i=160; i<loopCnt; i++){
e0165dcf 955 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
956 if (waveStart == 0) {
957 waveStart = i+1;
db829602 958 //prnt("DEBUG: waveStart: %d",waveStart);
e0165dcf 959 } else {
960 waveEnd = i+1;
db829602 961 //prnt("DEBUG: waveEnd: %d",waveEnd);
e0165dcf 962 waveLenCnt = waveEnd-waveStart;
963 if (waveLenCnt > fc){
964 firstFullWave = waveStart;
965 fullWaveLen=waveLenCnt;
966 break;
967 }
968 waveStart=0;
969 }
970 }
971 }
709665b5 972 if (g_debugMode ==2) prnt("DEBUG PSK: firstFullWave: %d, waveLen: %d",firstFullWave,fullWaveLen);
e0165dcf 973
974 //test each valid clock from greatest to smallest to see which lines up
975 for(clkCnt=7; clkCnt >= 1 ; clkCnt--){
976 lastClkBit = firstFullWave; //set end of wave as clock align
977 waveStart = 0;
978 errCnt=0;
979 peakcnt=0;
709665b5 980 if (g_debugMode == 2) prnt("DEBUG PSK: clk: %d, lastClkBit: %d",clk[clkCnt],lastClkBit);
e0165dcf 981
982 for (i = firstFullWave+fullWaveLen-1; i < loopCnt-2; i++){
983 //top edge of wave = start of new wave
984 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
985 if (waveStart == 0) {
986 waveStart = i+1;
987 waveLenCnt=0;
988 } else { //waveEnd
989 waveEnd = i+1;
990 waveLenCnt = waveEnd-waveStart;
991 if (waveLenCnt > fc){
992 //if this wave is a phase shift
709665b5 993 if (g_debugMode == 2) prnt("DEBUG PSK: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+clk[clkCnt]-tol,i+1,fc);
e0165dcf 994 if (i+1 >= lastClkBit + clk[clkCnt] - tol){ //should be a clock bit
995 peakcnt++;
996 lastClkBit+=clk[clkCnt];
997 } else if (i<lastClkBit+8){
998 //noise after a phase shift - ignore
999 } else { //phase shift before supposed to based on clock
1000 errCnt++;
1001 }
1002 } else if (i+1 > lastClkBit + clk[clkCnt] + tol + fc){
1003 lastClkBit+=clk[clkCnt]; //no phase shift but clock bit
1004 }
1005 waveStart=i+1;
1006 }
1007 }
1008 }
1009 if (errCnt == 0){
1010 return clk[clkCnt];
1011 }
1012 if (errCnt <= bestErr[clkCnt]) bestErr[clkCnt]=errCnt;
1013 if (peakcnt > peaksdet[clkCnt]) peaksdet[clkCnt]=peakcnt;
1014 }
1015 //all tested with errors
1016 //return the highest clk with the most peaks found
1017 uint8_t best=7;
1018 for (i=7; i>=1; i--){
1019 if (peaksdet[i] > peaksdet[best]) {
1020 best = i;
1021 }
709665b5 1022 if (g_debugMode == 2) prnt("DEBUG PSK: Clk: %d, peaks: %d, errs: %d, bestClk: %d",clk[i],peaksdet[i],bestErr[i],clk[best]);
e0165dcf 1023 }
1024 return clk[best];
ba1a299c 1025}
1026
db829602 1027int DetectStrongNRZClk(uint8_t *dest, size_t size, int peak, int low){
1028 //find shortest transition from high to low
1029 size_t i = 0;
1030 size_t transition1 = 0;
1031 int lowestTransition = 255;
6fe5c94b 1032 bool lastWasHigh = false;
1033
1034 //find first valid beginning of a high or low wave
1035 while ((dest[i] >= peak || dest[i] <= low) && (i < size))
1036 ++i;
1037 while ((dest[i] < peak && dest[i] > low) && (i < size))
1038 ++i;
1039 lastWasHigh = (dest[i] >= peak);
1040
db829602 1041 if (i==size) return 0;
1042 transition1 = i;
1043
1044 for (;i < size; i++) {
1045 if ((dest[i] >= peak && !lastWasHigh) || (dest[i] <= low && lastWasHigh)) {
1046 lastWasHigh = (dest[i] >= peak);
1047 if (i-transition1 < lowestTransition) lowestTransition = i-transition1;
1048 transition1 = i;
1049 }
1050 }
1051 if (lowestTransition == 255) lowestTransition = 0;
709665b5 1052 if (g_debugMode==2) prnt("DEBUG NRZ: detectstrongNRZclk smallest wave: %d",lowestTransition);
db829602 1053 return lowestTransition;
1054}
1055
6de43508 1056//by marshmellow
1057//detect nrz clock by reading #peaks vs no peaks(or errors)
1058int DetectNRZClock(uint8_t dest[], size_t size, int clock)
ba1a299c 1059{
2eec55c8 1060 size_t i=0;
1061 uint8_t clk[]={8,16,32,40,50,64,100,128,255};
1062 size_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1063 if (size == 0) return 0;
db829602 1064 if (size<loopCnt) loopCnt = size-20;
e0165dcf 1065 //if we already have a valid clock quit
1066 for (; i < 8; ++i)
1067 if (clk[i] == clock) return clock;
1068
1069 //get high and low peak
1070 int peak, low;
2eec55c8 1071 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return 0;
e0165dcf 1072
db829602 1073 int lowestTransition = DetectStrongNRZClk(dest, size-20, peak, low);
2eec55c8 1074 size_t ii;
e0165dcf 1075 uint8_t clkCnt;
1076 uint8_t tol = 0;
db829602 1077 uint16_t smplCnt = 0;
1078 int16_t peakcnt = 0;
1079 int16_t peaksdet[] = {0,0,0,0,0,0,0,0};
1080 uint16_t maxPeak = 255;
6fe5c94b 1081 bool firstpeak = false;
e0165dcf 1082 //test for large clipped waves
1083 for (i=0; i<loopCnt; i++){
1084 if (dest[i] >= peak || dest[i] <= low){
db829602 1085 if (!firstpeak) continue;
1086 smplCnt++;
e0165dcf 1087 } else {
6fe5c94b 1088 firstpeak=true;
db829602 1089 if (smplCnt > 6 ){
1090 if (maxPeak > smplCnt){
1091 maxPeak = smplCnt;
1092 //prnt("maxPk: %d",maxPeak);
1093 }
1094 peakcnt++;
1095 //prnt("maxPk: %d, smplCnt: %d, peakcnt: %d",maxPeak,smplCnt,peakcnt);
1096 smplCnt=0;
e0165dcf 1097 }
e0165dcf 1098 }
1099 }
6fe5c94b 1100 bool errBitHigh = 0;
1101 bool bitHigh = 0;
1102 uint8_t ignoreCnt = 0;
1103 uint8_t ignoreWindow = 4;
1104 bool lastPeakHigh = 0;
1105 int lastBit = 0;
e0165dcf 1106 peakcnt=0;
1107 //test each valid clock from smallest to greatest to see which lines up
1108 for(clkCnt=0; clkCnt < 8; ++clkCnt){
db829602 1109 //ignore clocks smaller than smallest peak
1110 if (clk[clkCnt] < maxPeak - (clk[clkCnt]/4)) continue;
e0165dcf 1111 //try lining up the peaks by moving starting point (try first 256)
db829602 1112 for (ii=20; ii < loopCnt; ++ii){
e0165dcf 1113 if ((dest[ii] >= peak) || (dest[ii] <= low)){
6fe5c94b 1114 peakcnt = 0;
1115 bitHigh = false;
1116 ignoreCnt = 0;
1117 lastBit = ii-clk[clkCnt];
db829602 1118 //loop through to see if this start location works
1119 for (i = ii; i < size-20; ++i) {
6fe5c94b 1120 //if we are at a clock bit
db829602 1121 if ((i >= lastBit + clk[clkCnt] - tol) && (i <= lastBit + clk[clkCnt] + tol)) {
1122 //test high/low
1123 if (dest[i] >= peak || dest[i] <= low) {
6fe5c94b 1124 //if same peak don't count it
1125 if ((dest[i] >= peak && !lastPeakHigh) || (dest[i] <= low && lastPeakHigh)) {
1126 peakcnt++;
1127 }
1128 lastPeakHigh = (dest[i] >= peak);
1129 bitHigh = true;
1130 errBitHigh = false;
db829602 1131 ignoreCnt = ignoreWindow;
1132 lastBit += clk[clkCnt];
db829602 1133 } else if (i == lastBit + clk[clkCnt] + tol) {
1134 lastBit += clk[clkCnt];
db829602 1135 }
1136 //else if not a clock bit and no peaks
1137 } else if (dest[i] < peak && dest[i] > low){
db829602 1138 if (ignoreCnt==0){
6fe5c94b 1139 bitHigh=false;
1140 if (errBitHigh==true) peakcnt--;
1141 errBitHigh=false;
db829602 1142 } else {
1143 ignoreCnt--;
1144 }
1145 // else if not a clock bit but we have a peak
6fe5c94b 1146 } else if ((dest[i]>=peak || dest[i]<=low) && (!bitHigh)) {
db829602 1147 //error bar found no clock...
6fe5c94b 1148 errBitHigh=true;
e0165dcf 1149 }
1150 }
1151 if(peakcnt>peaksdet[clkCnt]) {
1152 peaksdet[clkCnt]=peakcnt;
1153 }
1154 }
1155 }
1156 }
1157 int iii=7;
2eec55c8 1158 uint8_t best=0;
e0165dcf 1159 for (iii=7; iii > 0; iii--){
6fe5c94b 1160 if ((peaksdet[iii] >= (peaksdet[best]-1)) && (peaksdet[iii] <= peaksdet[best]+1) && lowestTransition) {
1161 if (clk[iii] > (lowestTransition - (clk[iii]/8)) && clk[iii] < (lowestTransition + (clk[iii]/8))) {
db829602 1162 best = iii;
1163 }
6fe5c94b 1164 } else if (peaksdet[iii] > peaksdet[best]){
1165 best = iii;
e0165dcf 1166 }
709665b5 1167 if (g_debugMode==2) prnt("DEBUG NRZ: Clk: %d, peaks: %d, maxPeak: %d, bestClk: %d, lowestTrs: %d",clk[iii],peaksdet[iii],maxPeak, clk[best], lowestTransition);
e0165dcf 1168 }
db829602 1169
e0165dcf 1170 return clk[best];
ba1a299c 1171}
1172
04d2721b 1173// by marshmellow
1174// convert psk1 demod to psk2 demod
1175// only transition waves are 1s
1176void psk1TOpsk2(uint8_t *BitStream, size_t size)
1177{
1178 size_t i=1;
1179 uint8_t lastBit=BitStream[0];
1180 for (; i<size; i++){
2767fc02 1181 if (BitStream[i]==7){
7a8a982b 1182 //ignore errors
1183 } else if (lastBit!=BitStream[i]){
04d2721b 1184 lastBit=BitStream[i];
1185 BitStream[i]=1;
1186 } else {
1187 BitStream[i]=0;
1188 }
1189 }
1190 return;
1191}
ba1a299c 1192
3bc66a96 1193// by marshmellow
1194// convert psk2 demod to psk1 demod
1195// from only transition waves are 1s to phase shifts change bit
1196void psk2TOpsk1(uint8_t *BitStream, size_t size)
1197{
712ebfa6 1198 uint8_t phase=0;
1199 for (size_t i=0; i<size; i++){
1200 if (BitStream[i]==1){
3bc66a96 1201 phase ^=1;
1202 }
1203 BitStream[i]=phase;
1204 }
1205 return;
1206}
1207
04d2721b 1208// redesigned by marshmellow adjusted from existing decode functions
1209// indala id decoding - only tested on 26 bit tags, but attempted to make it work for more
ba1a299c 1210int indala26decode(uint8_t *bitStream, size_t *size, uint8_t *invert)
1211{
1212 //26 bit 40134 format (don't know other formats)
14331320 1213 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
1214 uint8_t preamble_i[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
1215 size_t startidx = 0;
1216 if (!preambleSearch(bitStream, preamble, sizeof(preamble), size, &startidx)){
1217 // if didn't find preamble try again inverting
1218 if (!preambleSearch(bitStream, preamble_i, sizeof(preamble_i), size, &startidx)) return -1;
1219 *invert ^= 1;
1220 }
1221 if (*size != 64 && *size != 224) return -2;
1222 if (*invert==1)
1223 for (size_t i = startidx; i < *size; i++)
1224 bitStream[i] ^= 1;
ba1a299c 1225
14331320 1226 return (int) startidx;
ba1a299c 1227}
1228
d1869c33 1229// by marshmellow - demodulate NRZ wave - requires a read with strong signal
04d2721b 1230// peaks invert bit (high=1 low=0) each clock cycle = 1 bit determined by last peak
db829602 1231int nrzRawDemod(uint8_t *dest, size_t *size, int *clk, int *invert){
e0165dcf 1232 if (justNoise(dest, *size)) return -1;
1233 *clk = DetectNRZClock(dest, *size, *clk);
1234 if (*clk==0) return -2;
2eec55c8 1235 size_t i, gLen = 4096;
db829602 1236 if (gLen>*size) gLen = *size-20;
e0165dcf 1237 int high, low;
1238 if (getHiLo(dest, gLen, &high, &low, 75, 75) < 1) return -3; //25% fuzz on high 25% fuzz on low
db829602 1239
1240 uint8_t bit=0;
1241 //convert wave samples to 1's and 0's
1242 for(i=20; i < *size-20; i++){
1243 if (dest[i] >= high) bit = 1;
1244 if (dest[i] <= low) bit = 0;
1245 dest[i] = bit;
e0165dcf 1246 }
db829602 1247 //now demod based on clock (rf/32 = 32 1's for one 1 bit, 32 0's for one 0 bit)
1248 size_t lastBit = 0;
1249 size_t numBits = 0;
1250 for(i=21; i < *size-20; i++) {
1251 //if transition detected or large number of same bits - store the passed bits
1252 if (dest[i] != dest[i-1] || (i-lastBit) == (10 * *clk)) {
1253 memset(dest+numBits, dest[i-1] ^ *invert, (i - lastBit + (*clk/4)) / *clk);
1254 numBits += (i - lastBit + (*clk/4)) / *clk;
1255 lastBit = i-1;
e0165dcf 1256 }
e0165dcf 1257 }
db829602 1258 *size = numBits;
1259 return 0;
ba1a299c 1260}
1261
1e090a61 1262//by marshmellow
03e6bb4a 1263//detects the bit clock for FSK given the high and low Field Clocks
1264uint8_t detectFSKClk(uint8_t *BitStream, size_t size, uint8_t fcHigh, uint8_t fcLow)
1e090a61 1265{
e0165dcf 1266 uint8_t clk[] = {8,16,32,40,50,64,100,128,0};
1267 uint16_t rfLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1268 uint8_t rfCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1269 uint8_t rfLensFnd = 0;
2eec55c8 1270 uint8_t lastFCcnt = 0;
1271 uint16_t fcCounter = 0;
e0165dcf 1272 uint16_t rfCounter = 0;
1273 uint8_t firstBitFnd = 0;
1274 size_t i;
1275 if (size == 0) return 0;
1276
6fe5c94b 1277 uint8_t fcTol = ((fcHigh*100 - fcLow*100)/2 + 50)/100; //(uint8_t)(0.5+(float)(fcHigh-fcLow)/2);
e0165dcf 1278 rfLensFnd=0;
1279 fcCounter=0;
1280 rfCounter=0;
1281 firstBitFnd=0;
1282 //PrintAndLog("DEBUG: fcTol: %d",fcTol);
6fe5c94b 1283 // prime i to first peak / up transition
1284 for (i = 160; i < size-20; i++)
e0165dcf 1285 if (BitStream[i] > BitStream[i-1] && BitStream[i]>=BitStream[i+1])
1286 break;
1287
6fe5c94b 1288 for (; i < size-20; i++){
2eec55c8 1289 fcCounter++;
1290 rfCounter++;
1291
1292 if (BitStream[i] <= BitStream[i-1] || BitStream[i] < BitStream[i+1])
1293 continue;
1294 // else new peak
1295 // if we got less than the small fc + tolerance then set it to the small fc
1296 if (fcCounter < fcLow+fcTol)
1297 fcCounter = fcLow;
1298 else //set it to the large fc
1299 fcCounter = fcHigh;
1300
1301 //look for bit clock (rf/xx)
1302 if ((fcCounter < lastFCcnt || fcCounter > lastFCcnt)){
1303 //not the same size as the last wave - start of new bit sequence
1304 if (firstBitFnd > 1){ //skip first wave change - probably not a complete bit
1305 for (int ii=0; ii<15; ii++){
6fe5c94b 1306 if (rfLens[ii] >= (rfCounter-4) && rfLens[ii] <= (rfCounter+4)){
2eec55c8 1307 rfCnts[ii]++;
1308 rfCounter = 0;
1309 break;
e0165dcf 1310 }
e0165dcf 1311 }
2eec55c8 1312 if (rfCounter > 0 && rfLensFnd < 15){
1313 //PrintAndLog("DEBUG: rfCntr %d, fcCntr %d",rfCounter,fcCounter);
1314 rfCnts[rfLensFnd]++;
1315 rfLens[rfLensFnd++] = rfCounter;
1316 }
1317 } else {
1318 firstBitFnd++;
e0165dcf 1319 }
2eec55c8 1320 rfCounter=0;
1321 lastFCcnt=fcCounter;
e0165dcf 1322 }
2eec55c8 1323 fcCounter=0;
e0165dcf 1324 }
1325 uint8_t rfHighest=15, rfHighest2=15, rfHighest3=15;
1326
1327 for (i=0; i<15; i++){
e0165dcf 1328 //get highest 2 RF values (might need to get more values to compare or compare all?)
1329 if (rfCnts[i]>rfCnts[rfHighest]){
1330 rfHighest3=rfHighest2;
1331 rfHighest2=rfHighest;
1332 rfHighest=i;
1333 } else if(rfCnts[i]>rfCnts[rfHighest2]){
1334 rfHighest3=rfHighest2;
1335 rfHighest2=i;
1336 } else if(rfCnts[i]>rfCnts[rfHighest3]){
1337 rfHighest3=i;
1338 }
709665b5 1339 if (g_debugMode==2) prnt("DEBUG FSK: RF %d, cnts %d",rfLens[i], rfCnts[i]);
e0165dcf 1340 }
1341 // set allowed clock remainder tolerance to be 1 large field clock length+1
1342 // we could have mistakenly made a 9 a 10 instead of an 8 or visa versa so rfLens could be 1 FC off
1343 uint8_t tol1 = fcHigh+1;
1344
709665b5 1345 if (g_debugMode==2) prnt("DEBUG FSK: most counted rf values: 1 %d, 2 %d, 3 %d",rfLens[rfHighest],rfLens[rfHighest2],rfLens[rfHighest3]);
e0165dcf 1346
1347 // loop to find the highest clock that has a remainder less than the tolerance
1348 // compare samples counted divided by
6fe5c94b 1349 // test 128 down to 32 (shouldn't be possible to have fc/10 & fc/8 and rf/16 or less)
e0165dcf 1350 int ii=7;
6fe5c94b 1351 for (; ii>=2; ii--){
e0165dcf 1352 if (rfLens[rfHighest] % clk[ii] < tol1 || rfLens[rfHighest] % clk[ii] > clk[ii]-tol1){
1353 if (rfLens[rfHighest2] % clk[ii] < tol1 || rfLens[rfHighest2] % clk[ii] > clk[ii]-tol1){
1354 if (rfLens[rfHighest3] % clk[ii] < tol1 || rfLens[rfHighest3] % clk[ii] > clk[ii]-tol1){
709665b5 1355 if (g_debugMode==2) prnt("DEBUG FSK: clk %d divides into the 3 most rf values within tolerance",clk[ii]);
e0165dcf 1356 break;
1357 }
1358 }
1359 }
1360 }
1361
1362 if (ii<0) return 0; // oops we went too far
1363
1364 return clk[ii];
03e6bb4a 1365}
1e090a61 1366
03e6bb4a 1367//by marshmellow
1368//countFC is to detect the field clock lengths.
1369//counts and returns the 2 most common wave lengths
6de43508 1370//mainly used for FSK field clock detection
2eec55c8 1371uint16_t countFC(uint8_t *BitStream, size_t size, uint8_t fskAdj)
03e6bb4a 1372{
6fe5c94b 1373 uint8_t fcLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1374 uint16_t fcCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
e0165dcf 1375 uint8_t fcLensFnd = 0;
1376 uint8_t lastFCcnt=0;
2eec55c8 1377 uint8_t fcCounter = 0;
e0165dcf 1378 size_t i;
1379 if (size == 0) return 0;
1380
1381 // prime i to first up transition
6fe5c94b 1382 for (i = 160; i < size-20; i++)
e0165dcf 1383 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1])
1384 break;
1385
6fe5c94b 1386 for (; i < size-20; i++){
e0165dcf 1387 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1]){
1388 // new up transition
1389 fcCounter++;
2eec55c8 1390 if (fskAdj){
1391 //if we had 5 and now have 9 then go back to 8 (for when we get a fc 9 instead of an 8)
1392 if (lastFCcnt==5 && fcCounter==9) fcCounter--;
1393 //if fc=9 or 4 add one (for when we get a fc 9 instead of 10 or a 4 instead of a 5)
1394 if ((fcCounter==9) || fcCounter==4) fcCounter++;
e0165dcf 1395 // save last field clock count (fc/xx)
2eec55c8 1396 lastFCcnt = fcCounter;
1397 }
e0165dcf 1398 // find which fcLens to save it to:
6fe5c94b 1399 for (int ii=0; ii<15; ii++){
e0165dcf 1400 if (fcLens[ii]==fcCounter){
1401 fcCnts[ii]++;
1402 fcCounter=0;
1403 break;
1404 }
1405 }
6fe5c94b 1406 if (fcCounter>0 && fcLensFnd<15){
e0165dcf 1407 //add new fc length
1408 fcCnts[fcLensFnd]++;
1409 fcLens[fcLensFnd++]=fcCounter;
1410 }
1411 fcCounter=0;
1412 } else {
1413 // count sample
1414 fcCounter++;
1415 }
1416 }
1417
6fe5c94b 1418 uint8_t best1=14, best2=14, best3=14;
e0165dcf 1419 uint16_t maxCnt1=0;
1420 // go through fclens and find which ones are bigest 2
6fe5c94b 1421 for (i=0; i<15; i++){
e0165dcf 1422 // get the 3 best FC values
1423 if (fcCnts[i]>maxCnt1) {
1424 best3=best2;
1425 best2=best1;
1426 maxCnt1=fcCnts[i];
1427 best1=i;
1428 } else if(fcCnts[i]>fcCnts[best2]){
1429 best3=best2;
1430 best2=i;
1431 } else if(fcCnts[i]>fcCnts[best3]){
1432 best3=i;
1433 }
709665b5 1434 if (g_debugMode==2) prnt("DEBUG countfc: FC %u, Cnt %u, best fc: %u, best2 fc: %u",fcLens[i],fcCnts[i],fcLens[best1],fcLens[best2]);
e0165dcf 1435 }
6fe5c94b 1436 if (fcLens[best1]==0) return 0;
e0165dcf 1437 uint8_t fcH=0, fcL=0;
1438 if (fcLens[best1]>fcLens[best2]){
1439 fcH=fcLens[best1];
1440 fcL=fcLens[best2];
1441 } else{
1442 fcH=fcLens[best2];
1443 fcL=fcLens[best1];
1444 }
709665b5 1445 if ((size-180)/fcH/3 > fcCnts[best1]+fcCnts[best2]) {
1446 if (g_debugMode==2) prnt("DEBUG countfc: fc is too large: %u > %u. Not psk or fsk",(size-180)/fcH/3,fcCnts[best1]+fcCnts[best2]);
1447 return 0; //lots of waves not psk or fsk
1448 }
e0165dcf 1449 // TODO: take top 3 answers and compare to known Field clocks to get top 2
1450
1451 uint16_t fcs = (((uint16_t)fcH)<<8) | fcL;
2eec55c8 1452 if (fskAdj) return fcs;
1453 return fcLens[best1];
6de43508 1454}
1455
1456//by marshmellow - demodulate PSK1 wave
1457//uses wave lengths (# Samples)
1458int pskRawDemod(uint8_t dest[], size_t *size, int *clock, int *invert)
1459{
e0165dcf 1460 if (size == 0) return -1;
2eec55c8 1461 uint16_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1462 if (*size<loopCnt) loopCnt = *size;
1463
db829602 1464 size_t numBits=0;
e0165dcf 1465 uint8_t curPhase = *invert;
1466 size_t i, waveStart=1, waveEnd=0, firstFullWave=0, lastClkBit=0;
1467 uint8_t fc=0, fullWaveLen=0, tol=1;
1468 uint16_t errCnt=0, waveLenCnt=0;
2eec55c8 1469 fc = countFC(dest, *size, 0);
e0165dcf 1470 if (fc!=2 && fc!=4 && fc!=8) return -1;
1471 //PrintAndLog("DEBUG: FC: %d",fc);
1472 *clock = DetectPSKClock(dest, *size, *clock);
2eec55c8 1473 if (*clock == 0) return -1;
e0165dcf 1474 int avgWaveVal=0, lastAvgWaveVal=0;
1475 //find first phase shift
1476 for (i=0; i<loopCnt; i++){
1477 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1478 waveEnd = i+1;
1479 //PrintAndLog("DEBUG: waveEnd: %d",waveEnd);
1480 waveLenCnt = waveEnd-waveStart;
db829602 1481 if (waveLenCnt > fc && waveStart > fc && !(waveLenCnt > fc+2)){ //not first peak and is a large wave but not out of whack
e0165dcf 1482 lastAvgWaveVal = avgWaveVal/(waveLenCnt);
1483 firstFullWave = waveStart;
1484 fullWaveLen=waveLenCnt;
1485 //if average wave value is > graph 0 then it is an up wave or a 1
2eec55c8 1486 if (lastAvgWaveVal > 123) curPhase ^= 1; //fudge graph 0 a little 123 vs 128
e0165dcf 1487 break;
1488 }
1489 waveStart = i+1;
1490 avgWaveVal = 0;
1491 }
2eec55c8 1492 avgWaveVal += dest[i+2];
e0165dcf 1493 }
db829602 1494 if (firstFullWave == 0) {
1495 // no phase shift detected - could be all 1's or 0's - doesn't matter where we start
1496 // so skip a little to ensure we are past any Start Signal
1497 firstFullWave = 160;
1498 memset(dest, curPhase, firstFullWave / *clock);
1499 } else {
1500 memset(dest, curPhase^1, firstFullWave / *clock);
1501 }
1502 //advance bits
1503 numBits += (firstFullWave / *clock);
1504 //set start of wave as clock align
1505 lastClkBit = firstFullWave;
cf194819 1506 if (g_debugMode==2) prnt("DEBUG PSK: firstFullWave: %u, waveLen: %u",firstFullWave,fullWaveLen);
1507 if (g_debugMode==2) prnt("DEBUG: clk: %d, lastClkBit: %u, fc: %u", *clock, lastClkBit,(unsigned int) fc);
e0165dcf 1508 waveStart = 0;
e0165dcf 1509 dest[numBits++] = curPhase; //set first read bit
2eec55c8 1510 for (i = firstFullWave + fullWaveLen - 1; i < *size-3; i++){
e0165dcf 1511 //top edge of wave = start of new wave
1512 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1513 if (waveStart == 0) {
1514 waveStart = i+1;
2eec55c8 1515 waveLenCnt = 0;
e0165dcf 1516 avgWaveVal = dest[i+1];
1517 } else { //waveEnd
1518 waveEnd = i+1;
1519 waveLenCnt = waveEnd-waveStart;
1520 lastAvgWaveVal = avgWaveVal/waveLenCnt;
1521 if (waveLenCnt > fc){
1522 //PrintAndLog("DEBUG: avgWaveVal: %d, waveSum: %d",lastAvgWaveVal,avgWaveVal);
2eec55c8 1523 //this wave is a phase shift
e0165dcf 1524 //PrintAndLog("DEBUG: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+*clock-tol,i+1,fc);
1525 if (i+1 >= lastClkBit + *clock - tol){ //should be a clock bit
2eec55c8 1526 curPhase ^= 1;
e0165dcf 1527 dest[numBits++] = curPhase;
1528 lastClkBit += *clock;
2eec55c8 1529 } else if (i < lastClkBit+10+fc){
e0165dcf 1530 //noise after a phase shift - ignore
1531 } else { //phase shift before supposed to based on clock
1532 errCnt++;
2767fc02 1533 dest[numBits++] = 7;
e0165dcf 1534 }
1535 } else if (i+1 > lastClkBit + *clock + tol + fc){
1536 lastClkBit += *clock; //no phase shift but clock bit
1537 dest[numBits++] = curPhase;
1538 }
2eec55c8 1539 avgWaveVal = 0;
1540 waveStart = i+1;
e0165dcf 1541 }
1542 }
2eec55c8 1543 avgWaveVal += dest[i+1];
e0165dcf 1544 }
1545 *size = numBits;
1546 return errCnt;
6de43508 1547}
d1869c33 1548
1549//by marshmellow
1550//attempt to identify a Sequence Terminator in ASK modulated raw wave
1551bool DetectST(uint8_t buffer[], size_t *size, int *foundclock) {
1552 size_t bufsize = *size;
1553 //need to loop through all samples and identify our clock, look for the ST pattern
1554 uint8_t fndClk[] = {8,16,32,40,50,64,128};
1555 int clk = 0;
1556 int tol = 0;
b96bcc79 1557 int i, j, skip, start, end, low, high, minClk, waveStart;
d1869c33 1558 bool complete = false;
1559 int tmpbuff[bufsize / 64];
b96bcc79 1560 int waveLen[bufsize / 64];
d1869c33 1561 size_t testsize = (bufsize < 512) ? bufsize : 512;
b96bcc79 1562 int phaseoff = 0;
d1869c33 1563 high = low = 128;
1564 memset(tmpbuff, 0, sizeof(tmpbuff));
1565
1566 if ( getHiLo(buffer, testsize, &high, &low, 80, 80) == -1 ) {
1567 if (g_debugMode==2) prnt("DEBUG STT: just noise detected - quitting");
1568 return false; //just noise
1569 }
d1869c33 1570 i = 0;
1571 j = 0;
1572 minClk = 255;
1573 // get to first full low to prime loop and skip incomplete first pulse
1574 while ((buffer[i] < high) && (i < bufsize))
1575 ++i;
1576 while ((buffer[i] > low) && (i < bufsize))
1577 ++i;
1578 skip = i;
1579
1580 // populate tmpbuff buffer with pulse lengths
1581 while (i < bufsize) {
1582 // measure from low to low
1583 while ((buffer[i] > low) && (i < bufsize))
1584 ++i;
1585 start= i;
1586 while ((buffer[i] < high) && (i < bufsize))
1587 ++i;
b96bcc79 1588 //first high point for this wave
1589 waveStart = i;
d1869c33 1590 while ((buffer[i] > low) && (i < bufsize))
1591 ++i;
1592 if (j >= (bufsize/64)) {
1593 break;
1594 }
b96bcc79 1595 waveLen[j] = i - waveStart; //first high to first low
d1869c33 1596 tmpbuff[j++] = i - start;
1597 if (i-start < minClk && i < bufsize) {
1598 minClk = i - start;
1599 }
1600 }
1601 // set clock - might be able to get this externally and remove this work...
1602 if (!clk) {
1603 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
1604 tol = fndClk[clkCnt]/8;
1605 if (minClk >= fndClk[clkCnt]-tol && minClk <= fndClk[clkCnt]+1) {
1606 clk=fndClk[clkCnt];
1607 break;
1608 }
1609 }
1610 // clock not found - ERROR
1611 if (!clk) {
1612 if (g_debugMode==2) prnt("DEBUG STT: clock not found - quitting");
1613 return false;
1614 }
1615 } else tol = clk/8;
1616
1617 *foundclock = clk;
1618
1619 // look for Sequence Terminator - should be pulses of clk*(1 or 1.5), clk*2, clk*(1.5 or 2)
1620 start = -1;
1621 for (i = 0; i < j - 4; ++i) {
1622 skip += tmpbuff[i];
b96bcc79 1623 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol && waveLen[i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
1624 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1625 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1626 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
d1869c33 1627 start = i + 3;
1628 break;
1629 }
1630 }
1631 }
1632 }
1633 }
1634 // first ST not found - ERROR
1635 if (start < 0) {
1636 if (g_debugMode==2) prnt("DEBUG STT: first STT not found - quitting");
1637 return false;
1638 }
b96bcc79 1639 if (waveLen[i+2] > clk*1+tol)
1640 phaseoff = 0;
1641 else
1642 phaseoff = clk/2;
1643
d1869c33 1644 // skip over the remainder of ST
1645 skip += clk*7/2; //3.5 clocks from tmpbuff[i] = end of st - also aligns for ending point
1646
1647 // now do it again to find the end
1648 end = skip;
1649 for (i += 3; i < j - 4; ++i) {
1650 end += tmpbuff[i];
1651 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol) { //1 to 2 clocks depending on 2 bits prior
b96bcc79 1652 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1653 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1654 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
d1869c33 1655 complete = true;
1656 break;
1657 }
1658 }
1659 }
1660 }
1661 }
b96bcc79 1662 end -= phaseoff;
d1869c33 1663 //didn't find second ST - ERROR
1664 if (!complete) {
1665 if (g_debugMode==2) prnt("DEBUG STT: second STT not found - quitting");
1666 return false;
1667 }
b96bcc79 1668 if (g_debugMode==2) prnt("DEBUG STT: start of data: %d end of data: %d, datalen: %d, clk: %d, bits: %d, phaseoff: %d", skip, end, end-skip, clk, (end-skip)/clk, phaseoff);
d1869c33 1669 //now begin to trim out ST so we can use normal demod cmds
1670 start = skip;
1671 size_t datalen = end - start;
1672 // check validity of datalen (should be even clock increments) - use a tolerance of up to 1/8th a clock
1673 if (datalen % clk > clk/8) {
1674 if (g_debugMode==2) prnt("DEBUG STT: datalen not divisible by clk: %u %% %d = %d - quitting", datalen, clk, datalen % clk);
1675 return false;
1676 } else {
1677 // padd the amount off - could be problematic... but shouldn't happen often
1678 datalen += datalen % clk;
1679 }
1680 // if datalen is less than one t55xx block - ERROR
1681 if (datalen/clk < 8*4) {
1682 if (g_debugMode==2) prnt("DEBUG STT: datalen is less than 1 full t55xx block - quitting");
1683 return false;
1684 }
1685 size_t dataloc = start;
1686 size_t newloc = 0;
1687 i=0;
1688 // warning - overwriting buffer given with raw wave data with ST removed...
1689 while ( dataloc < bufsize-(clk/2) ) {
cf194819 1690 //compensate for long high at end of ST not being high due to signal loss... (and we cut out the start of wave high part)
d1869c33 1691 if (buffer[dataloc]<high && buffer[dataloc]>low && buffer[dataloc+3]<high && buffer[dataloc+3]>low) {
1692 for(i=0; i < clk/2-tol; ++i) {
1693 buffer[dataloc+i] = high+5;
1694 }
1695 }
1696 for (i=0; i<datalen; ++i) {
1697 if (i+newloc < bufsize) {
1698 if (i+newloc < dataloc)
1699 buffer[i+newloc] = buffer[dataloc];
1700
1701 dataloc++;
1702 }
1703 }
1704 newloc += i;
cf194819 1705 //skip next ST - we just assume it will be there from now on...
d1869c33 1706 dataloc += clk*4;
1707 }
1708 *size = newloc;
1709 return true;
1710}
Impressum, Datenschutz