]> git.zerfleddert.de Git - proxmark3-svn/blame - fpga/fpga.mpf
- Added new Makefile.linux in bootrom directory
[proxmark3-svn] / fpga / fpga.mpf
CommitLineData
6658905f 1;\r
2; Copyright Model Technology, a Mentor Graphics\r
3; Corporation company 2003, - All rights reserved.\r
4; \r
5[Library]\r
6std = $MODEL_TECH/../std\r
7ieee = $MODEL_TECH/../ieee\r
8verilog = $MODEL_TECH/../verilog\r
9vital2000 = $MODEL_TECH/../vital2000\r
10std_developerskit = $MODEL_TECH/../std_developerskit\r
11synopsys = $MODEL_TECH/../synopsys\r
12modelsim_lib = $MODEL_TECH/../modelsim_lib\r
13\r
14\r
15; VHDL Section\r
16unisim = $MODEL_TECH/../xilinx/vhdl/unisim\r
17simprim = $MODEL_TECH/../xilinx/vhdl/simprim\r
18xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib\r
19aim = $MODEL_TECH/../xilinx/vhdl/aim\r
20pls = $MODEL_TECH/../xilinx/vhdl/pls\r
21cpld = $MODEL_TECH/../xilinx/vhdl/cpld\r
22\r
23; Verilog Section\r
24unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver\r
25uni9000_ver = $MODEL_TECH/../xilinx/verilog/uni9000_ver\r
26simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver\r
27xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver\r
28aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver\r
29cpld_ver = $MODEL_TECH/../xilinx/verilog/cpld_ver\r
30\r
31work = work
32[vcom]\r
33; Turn on VHDL-1993 as the default. Normally is off.\r
34VHDL93 = 1\r
35\r
36; Show source line containing error. Default is off.\r
37; Show_source = 1\r
38\r
39; Turn off unbound-component warnings. Default is on.\r
40; Show_Warning1 = 0\r
41\r
42; Turn off process-without-a-wait-statement warnings. Default is on.\r
43; Show_Warning2 = 0\r
44\r
45; Turn off null-range warnings. Default is on.\r
46; Show_Warning3 = 0\r
47\r
48; Turn off no-space-in-time-literal warnings. Default is on.\r
49; Show_Warning4 = 0\r
50\r
51; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.\r
52; Show_Warning5 = 0\r
53\r
54; Turn off optimization for IEEE std_logic_1164 package. Default is on.\r
55; Optimize_1164 = 0\r
56\r
57; Turn on resolving of ambiguous function overloading in favor of the\r
58; "explicit" function declaration (not the one automatically created by\r
59; the compiler for each type declaration). Default is off.\r
60 Explicit = 1\r
61\r
62; Turn off VITAL compliance checking. Default is checking on.\r
63; NoVitalCheck = 1\r
64\r
65; Ignore VITAL compliance checking errors. Default is to not ignore.\r
66; IgnoreVitalErrors = 1\r
67\r
68; Turn off VITAL compliance checking warnings. Default is to show warnings.\r
69; Show_VitalChecksWarnings = false\r
70\r
71; Turn off "loading..." messages. Default is messages on.\r
72; Quiet = 1\r
73\r
74; Turn on some limited synthesis rule compliance checking. Checks only:\r
75; -- signals used (read) by a process must be in the sensitivity list\r
76; CheckSynthesis = 1\r
77\r
78[vlog]\r
79\r
80; Turn off "loading..." messages. Default is messages on.\r
81; Quiet = 1\r
82\r
83; Turn on Verilog hazard checking (order-dependent accessing of global vars).\r
84; Default is off.\r
85; Hazard = 1\r
86\r
87; Turn on converting regular Verilog identifiers to uppercase. Allows case\r
88; insensitivity for module names. Default is no conversion.\r
89; UpCase = 1\r
90\r
91; Turns on incremental compilation of modules \r
92; Incremental = 1\r
93\r
94[vsim]\r
95; Simulator resolution\r
96; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.\r
97Resolution = ps\r
98\r
99; User time unit for run commands\r
100; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the\r
101; unit specified for Resolution. For example, if Resolution is 100ps,\r
102; then UserTimeUnit defaults to ps.\r
103UserTimeUnit = default\r
104\r
105; Default run length\r
106RunLength = 100\r
107\r
108; Maximum iterations that can be run without advancing simulation time\r
109IterationLimit = 5000\r
110\r
111; Directive to license manager:\r
112; vhdl Immediately reserve a VHDL license\r
113; vlog Immediately reserve a Verilog license\r
114; plus Immediately reserve a VHDL and Verilog license\r
115; nomgc Do not look for Mentor Graphics Licenses\r
116; nomti Do not look for Model Technology Licenses\r
117; noqueue Do not wait in the license queue when a license isn't available\r
118; License = plus\r
119\r
120; Stop the simulator after an assertion message\r
121; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal\r
122BreakOnAssertion = 3\r
123\r
124; Assertion Message Format\r
125; %S - Severity Level \r
126; %R - Report Message\r
127; %T - Time of assertion\r
128; %D - Delta\r
129; %I - Instance or Region pathname (if available)\r
130; %% - print '%' character\r
131; AssertionFormat = "** %S: %R\n Timf: %T Iteration: %D%I\n"\r
132\r
133; Assertion File - alternate file for storing assertion messages\r
134; AssertFile = assert.log\r
135\r
136; Default radix for all windows and commands...\r
137; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned\r
138DefaultRadix = symbolic\r
139\r
140; VSIM Startup command\r
141; Startup = do startup.do\r
142\r
143; File for saving command transcript\r
144TranscriptFile = transcript\r
145\r
146; File for saving command history \r
147;CommandHistory = cmdhist.log\r
148\r
149; Specify whether paths in simulator commands should be described \r
150; in VHDL or Verilog format. For VHDL, PathSeparator = /\r
151; for Verilog, PathSeparator = .\r
152PathSeparator = /\r
153\r
154; Specify the dataset separator for fully rooted contexts.\r
155; The default is ':'. For example, sim:/top\r
156; Must not be the same character as PathSeparator.\r
157DatasetSeparator = :\r
158\r
159; Disable assertion messages\r
160; IgnoreNote = 1\r
161; IgnoreWarning = 1\r
162; IgnoreError = 1\r
163; IgnoreFailure = 1\r
164\r
165; Default force kind. May be freeze, drive, or deposit \r
166; or in other terms, fixed, wired or charged.\r
167; DefaultForceKind = freeze\r
168\r
169; If zero, open files when elaborated\r
170; else open files on first read or write\r
171; DelayFileOpen = 0\r
172\r
173; Control VHDL files opened for write\r
174; 0 = Buffered, 1 = Unbuffered\r
175UnbufferedOutput = 0\r
176\r
177; Control number of VHDL files open concurrently\r
178; This number should always be less then the \r
179; current ulimit setting for max file descriptors\r
180; 0 = unlimited\r
181ConcurrentFileLimit = 40\r
182\r
183; This controls the number of hierarchical regions displayed as\r
184; part of a signal name shown in the waveform window. The default\r
185; value or a value of zero tells VSIM to display the full name.\r
186; WaveSignalNameWidth = 0\r
187\r
188; Turn off warnings from the std_logic_arith, std_logic_unsigned\r
189; and std_logic_signed packages.\r
190; StdArithNoWarnings = 1\r
191\r
192; Turn off warnings from the IEEE numeric_std and numeric_bit\r
193; packages.\r
194; NumericStdNoWarnings = 1\r
195\r
196; Control the format of a generate statement label. Don't quote it.\r
197; GenerateFormat = %s__%d\r
198\r
199; Specify whether checkpoint files should be compressed.\r
200; The default is to be compressed.\r
201; CheckpointCompressMode = 0\r
202\r
203; List of dynamically loaded objects for Verilog PLI applications\r
204; Veriuser = veriuser.sl\r
205\r
206[lmc]\r
207[Project]
208Project_Version = 5
209Project_DefaultLib = work
210Project_SortMethod = unused
211Project_Files_Count = 13
212Project_File_0 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/fpga_tb.v
213Project_File_P_0 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1179836462 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 2 dont_compile 0
214Project_File_1 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/hi_simulate.v
215Project_File_P_1 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225963633 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to work vlog_upper 0 vlog_options {} compile_order 6 dont_compile 0
216Project_File_2 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/testbed_hi_simulate.v
217Project_File_P_2 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225964050 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to work vlog_upper 0 vlog_options {} compile_order 12 dont_compile 0
218Project_File_3 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/fpga.v
219Project_File_P_3 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1207888760 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 1 dont_compile 0
220Project_File_4 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/hi_read_tx.v
221Project_File_P_4 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225960972 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 5 dont_compile 0
222Project_File_5 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/testbed_hi_read_tx.v
223Project_File_P_5 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225962515 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 11 dont_compile 0
224Project_File_6 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/hi_iso14443a.v
225Project_File_P_6 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1207889732 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 3 dont_compile 0
226Project_File_7 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/lo_simulate.v
227Project_File_P_7 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1179836462 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 8 dont_compile 0
228Project_File_8 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/lo_read.v
229Project_File_P_8 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225797126 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 7 dont_compile 0
230Project_File_9 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/util.v
231Project_File_P_9 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1179836462 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 0 dont_compile 0
232Project_File_10 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/testbed_lo_read.v
233Project_File_P_10 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225960239 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 9 dont_compile 0
234Project_File_11 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/testbed_lo_simulate.v
235Project_File_P_11 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1225960231 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 10 dont_compile 0
236Project_File_12 = G:/RFID/Hardware/Proxmark3/Sources/prox_work/fpga/hi_read_rx_xcorr.v
237Project_File_P_12 = vlog_protect 0 file_type Verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1179836462 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 vlog_options {} vlog_upper 0 compile_to work compile_order 4 dont_compile 0
238Project_Sim_Count = 0
239Project_Folder_Count = 0
Impressum, Datenschutz