]> git.zerfleddert.de Git - proxmark3-svn/blame - common/lfdemod.c
continue refactor detectST
[proxmark3-svn] / common / lfdemod.c
CommitLineData
eb191de6 1//-----------------------------------------------------------------------------
ba1a299c 2// Copyright (C) 2014
eb191de6 3//
4// This code is licensed to you under the terms of the GNU GPL, version 2 or,
5// at your option, any later version. See the LICENSE.txt file for the text of
6// the license.
7//-----------------------------------------------------------------------------
bf74114d 8// Low frequency demod/decode commands - by marshmellow, holiman, iceman and
9// many others who came before
4d3c1796 10//
11// NOTES:
12// LF Demod functions are placed here to allow the flexability to use client or
13// device side. Most BUT NOT ALL of these functions are currenlty safe for
14// device side use currently. (DetectST for example...)
15//
16// There are likely many improvements to the code that could be made, please
17// make suggestions...
18//
bf74114d 19// we tried to include author comments so any questions could be directed to
20// the source.
21//
4d3c1796 22// There are 4 main sections of code below:
23// Utilities Section:
24// for general utilities used by multiple other functions
4d3c1796 25// Clock / Bitrate Detection Section:
26// for clock detection functions for each modulation
d5051b98 27// Modulation Demods &/or Decoding Section:
28// for main general modulation demodulating and encoding decoding code.
4d3c1796 29// Tag format detection section:
30// for detection of specific tag formats within demodulated data
31//
32// marshmellow
eb191de6 33//-----------------------------------------------------------------------------
34
d5051b98 35#include <string.h> // for memset, memcmp and size_t
36#include <stdint.h> // for uint_32+
37#include <stdbool.h> // for bool
6fe5c94b 38
d5051b98 39//**********************************************************************************************
40//---------------------------------Utilities Section--------------------------------------------
41//**********************************************************************************************
bf74114d 42
d1869c33 43//to allow debug print calls when used not on device
6fe5c94b 44void dummy(char *fmt, ...){}
6fe5c94b 45#ifndef ON_DEVICE
46#include "ui.h"
709665b5 47#include "cmdparser.h"
48#include "cmddata.h"
6fe5c94b 49#define prnt PrintAndLog
50#else
709665b5 51 uint8_t g_debugMode=0;
6fe5c94b 52#define prnt dummy
53#endif
6fe5c94b 54
bf74114d 55uint8_t justNoise(uint8_t *BitStream, size_t size) {
a1d17964 56 static const uint8_t THRESHOLD = 123;
57 //test samples are not just noise
58 uint8_t justNoise1 = 1;
59 for(size_t idx=0; idx < size && justNoise1 ;idx++){
60 justNoise1 = BitStream[idx] < THRESHOLD;
61 }
62 return justNoise1;
63}
64
1e090a61 65//by marshmellow
872e3d4d 66//get high and low values of a wave with passed in fuzz factor. also return noise test = 1 for passed or 0 for only noise
bf74114d 67int getHiLo(uint8_t *BitStream, size_t size, int *high, int *low, uint8_t fuzzHi, uint8_t fuzzLo) {
1e090a61 68 *high=0;
69 *low=255;
70 // get high and low thresholds
2eec55c8 71 for (size_t i=0; i < size; i++){
1e090a61 72 if (BitStream[i] > *high) *high = BitStream[i];
73 if (BitStream[i] < *low) *low = BitStream[i];
74 }
75 if (*high < 123) return -1; // just noise
75cbbe9a 76 *high = ((*high-128)*fuzzHi + 12800)/100;
77 *low = ((*low-128)*fuzzLo + 12800)/100;
1e090a61 78 return 1;
79}
80
a1d17964 81// by marshmellow
82// pass bits to be tested in bits, length bits passed in bitLen, and parity type (even=0 | odd=1) in pType
83// returns 1 if passed
bf74114d 84uint8_t parityTest(uint32_t bits, uint8_t bitLen, uint8_t pType) {
a1d17964 85 uint8_t ans = 0;
86 for (uint8_t i = 0; i < bitLen; i++){
87 ans ^= ((bits >> i) & 1);
88 }
e39a92bb 89 if (g_debugMode) prnt("DEBUG: ans: %d, ptype: %d, bits: %08X",ans,pType,bits);
a1d17964 90 return (ans == pType);
91}
92
709665b5 93// by marshmellow
94// takes a array of binary values, start position, length of bits per parity (includes parity bit),
88e85bde 95// Parity Type (1 for odd; 0 for even; 2 for Always 1's; 3 for Always 0's), and binary Length (length to run)
bf74114d 96size_t removeParity(uint8_t *BitStream, size_t startIdx, uint8_t pLen, uint8_t pType, size_t bLen) {
709665b5 97 uint32_t parityWd = 0;
98 size_t j = 0, bitCnt = 0;
e39a92bb 99 for (int word = 0; word < (bLen); word+=pLen) {
100 for (int bit=0; bit < pLen; bit++) {
709665b5 101 parityWd = (parityWd << 1) | BitStream[startIdx+word+bit];
102 BitStream[j++] = (BitStream[startIdx+word+bit]);
103 }
e88096ba 104 if (word+pLen > bLen) break;
e39a92bb 105
709665b5 106 j--; // overwrite parity with next data
107 // if parity fails then return 0
88e85bde 108 switch (pType) {
29435274 109 case 3: if (BitStream[j]==1) {return 0;} break; //should be 0 spacer bit
110 case 2: if (BitStream[j]==0) {return 0;} break; //should be 1 spacer bit
111 default: if (parityTest(parityWd, pLen, pType) == 0) {return 0;} break; //test parity
709665b5 112 }
113 bitCnt+=(pLen-1);
114 parityWd = 0;
115 }
116 // if we got here then all the parities passed
117 //return ID start index and size
118 return bitCnt;
119}
120
121// by marshmellow
122// takes a array of binary values, length of bits per parity (includes parity bit),
88e85bde 123// Parity Type (1 for odd; 0 for even; 2 Always 1's; 3 Always 0's), and binary Length (length to run)
124// Make sure *dest is long enough to store original sourceLen + #_of_parities_to_be_added
bf74114d 125size_t addParity(uint8_t *BitSource, uint8_t *dest, uint8_t sourceLen, uint8_t pLen, uint8_t pType) {
709665b5 126 uint32_t parityWd = 0;
127 size_t j = 0, bitCnt = 0;
128 for (int word = 0; word < sourceLen; word+=pLen-1) {
129 for (int bit=0; bit < pLen-1; bit++){
130 parityWd = (parityWd << 1) | BitSource[word+bit];
131 dest[j++] = (BitSource[word+bit]);
132 }
133 // if parity fails then return 0
88e85bde 134 switch (pType) {
135 case 3: dest[j++]=0; break; // marker bit which should be a 0
136 case 2: dest[j++]=1; break; // marker bit which should be a 1
137 default:
138 dest[j++] = parityTest(parityWd, pLen-1, pType) ^ 1;
139 break;
709665b5 140 }
141 bitCnt += pLen;
142 parityWd = 0;
143 }
144 // if we got here then all the parities passed
145 //return ID start index and size
146 return bitCnt;
147}
148
bf74114d 149uint32_t bytebits_to_byte(uint8_t *src, size_t numbits) {
709665b5 150 uint32_t num = 0;
151 for(int i = 0 ; i < numbits ; i++)
152 {
153 num = (num << 1) | (*src);
154 src++;
155 }
156 return num;
157}
158
159//least significant bit first
bf74114d 160uint32_t bytebits_to_byteLSBF(uint8_t *src, size_t numbits) {
709665b5 161 uint32_t num = 0;
162 for(int i = 0 ; i < numbits ; i++)
163 {
164 num = (num << 1) | *(src + (numbits-(i+1)));
165 }
166 return num;
167}
168
e88096ba 169// search for given preamble in given BitStream and return success=1 or fail=0 and startIndex (where it was found) and length if not fineone
170// fineone does not look for a repeating preamble for em4x05/4x69 sends preamble once, so look for it once in the first pLen bits
171bool preambleSearchEx(uint8_t *BitStream, uint8_t *preamble, size_t pLen, size_t *size, size_t *startIdx, bool findone) {
59f726c9 172 // Sanity check. If preamble length is bigger than bitstream length.
e88096ba 173 if ( *size <= pLen ) return false;
59f726c9 174
e88096ba 175 uint8_t foundCnt = 0;
176 for (size_t idx = 0; idx < *size - pLen; idx++) {
177 if (memcmp(BitStream+idx, preamble, pLen) == 0) {
e0165dcf 178 //first index found
179 foundCnt++;
e88096ba 180 if (foundCnt == 1) {
181 if (g_debugMode) prnt("DEBUG: preamble found at %u", idx);
e0165dcf 182 *startIdx = idx;
e88096ba 183 if (findone) return true;
184 } else if (foundCnt == 2) {
e0165dcf 185 *size = idx - *startIdx;
e88096ba 186 return true;
e0165dcf 187 }
188 }
189 }
4c6ccc2b 190 return false;
191}
192
bf74114d 193//by marshmellow
194//search for given preamble in given BitStream and return success=1 or fail=0 and startIndex and length
195uint8_t preambleSearch(uint8_t *BitStream, uint8_t *preamble, size_t pLen, size_t *size, size_t *startIdx) {
196 return (preambleSearchEx(BitStream, preamble, pLen, size, startIdx, false)) ? 1 : 0;
197}
198
34ff8985 199// find start of modulating data (for fsk and psk) in case of beginning noise or slow chip startup.
200size_t findModStart(uint8_t dest[], size_t size, uint8_t threshold_value, uint8_t expWaveSize) {
201 size_t i = 0;
202 size_t waveSizeCnt = 0;
203 uint8_t thresholdCnt = 0;
204 bool isAboveThreshold = dest[i++] >= threshold_value;
205 for (; i < size-20; i++ ) {
206 if(dest[i] < threshold_value && isAboveThreshold) {
207 thresholdCnt++;
208 if (thresholdCnt > 2 && waveSizeCnt < expWaveSize+1) break;
209 isAboveThreshold = false;
210 waveSizeCnt = 0;
211 } else if (dest[i] >= threshold_value && !isAboveThreshold) {
212 thresholdCnt++;
213 if (thresholdCnt > 2 && waveSizeCnt < expWaveSize+1) break;
214 isAboveThreshold = true;
215 waveSizeCnt = 0;
216 } else {
217 waveSizeCnt++;
218 }
219 if (thresholdCnt > 10) break;
220 }
221 if (g_debugMode == 2) prnt("DEBUG: threshold Count reached at %u, count: %u",i, thresholdCnt);
222 return i;
223}
224
2147c307 225//by marshmellow
4d3c1796 226//amplify based on ask edge detection - not accurate enough to use all the time
227void askAmp(uint8_t *BitStream, size_t size) {
16ea2b8c 228 uint8_t Last = 128;
fef74fdc 229 for(size_t i = 1; i<size; i++){
230 if (BitStream[i]-BitStream[i-1]>=30) //large jump up
16ea2b8c 231 Last = 255;
232 else if(BitStream[i-1]-BitStream[i]>=20) //large jump down
233 Last = 0;
234
235 BitStream[i-1] = Last;
fef74fdc 236 }
237 return;
238}
f822a063 239
3606ac0a 240uint32_t manchesterEncode2Bytes(uint16_t datain) {
241 uint32_t output = 0;
242 uint8_t curBit = 0;
243 for (uint8_t i=0; i<16; i++) {
244 curBit = (datain >> (15-i) & 1);
245 output |= (1<<(((15-i)*2)+curBit));
246 }
247 return output;
248}
249
fef74fdc 250//by marshmellow
251//encode binary data into binary manchester
4d3c1796 252//NOTE: BitStream must have double the size available in memory to do the swap
253int ManchesterEncode(uint8_t *BitStream, size_t size) {
254 size_t modIdx=size, i=0;
fef74fdc 255 if (size>modIdx) return -1;
256 for (size_t idx=0; idx < size; idx++){
257 BitStream[idx+modIdx++] = BitStream[idx];
258 BitStream[idx+modIdx++] = BitStream[idx]^1;
259 }
260 for (; i<(size*2); i++){
4d3c1796 261 BitStream[i] = BitStream[i+size];
fef74fdc 262 }
263 return i;
264}
265
d5051b98 266// by marshmellow
267// to detect a wave that has heavily clipped (clean) samples
268uint8_t DetectCleanAskWave(uint8_t dest[], size_t size, uint8_t high, uint8_t low) {
269 bool allArePeaks = true;
270 uint16_t cntPeaks=0;
271 size_t loopEnd = 512+160;
272 if (loopEnd > size) loopEnd = size;
273 for (size_t i=160; i<loopEnd; i++){
274 if (dest[i]>low && dest[i]<high)
275 allArePeaks = false;
276 else
277 cntPeaks++;
bf74114d 278 }
d5051b98 279 if (!allArePeaks){
280 if (cntPeaks > 300) return true;
281 }
282 return allArePeaks;
bf74114d 283}
4d3c1796 284
d5051b98 285//**********************************************************************************************
286//-------------------Clock / Bitrate Detection Section------------------------------------------
287//**********************************************************************************************
288
289// by marshmellow
290// to help detect clocks on heavily clipped samples
291// based on count of low to low
292int DetectStrongAskClock(uint8_t dest[], size_t size, uint8_t high, uint8_t low, int *clock) {
293 uint8_t fndClk[] = {8,16,32,40,50,64,128};
294 size_t startwave;
295 size_t i = 100;
296 size_t minClk = 255;
297 int shortestWaveIdx = 0;
298 // get to first full low to prime loop and skip incomplete first pulse
299 while ((dest[i] < high) && (i < size))
4d3c1796 300 ++i;
d5051b98 301 while ((dest[i] > low) && (i < size))
4d3c1796 302 ++i;
4d3c1796 303
d5051b98 304 // loop through all samples
305 while (i < size) {
4d3c1796 306 // measure from low to low
d5051b98 307 while ((dest[i] > low) && (i < size))
4d3c1796 308 ++i;
d5051b98 309 startwave = i;
310 while ((dest[i] < high) && (i < size))
4d3c1796 311 ++i;
d5051b98 312 while ((dest[i] > low) && (i < size))
4d3c1796 313 ++i;
d5051b98 314 //get minimum measured distance
315 if (i-startwave < minClk && i < size) {
316 minClk = i - startwave;
317 shortestWaveIdx = startwave;
4d3c1796 318 }
319 }
d5051b98 320 // set clock
321 if (g_debugMode==2) prnt("DEBUG ASK: detectstrongASKclk smallest wave: %d",minClk);
322 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
323 if (minClk >= fndClk[clkCnt]-(fndClk[clkCnt]/8) && minClk <= fndClk[clkCnt]+1) {
324 *clock = fndClk[clkCnt];
325 return shortestWaveIdx;
4d3c1796 326 }
4d3c1796 327 }
d5051b98 328 return 0;
329}
4d3c1796 330
d5051b98 331// by marshmellow
332// not perfect especially with lower clocks or VERY good antennas (heavy wave clipping)
333// maybe somehow adjust peak trimming value based on samples to fix?
334// return start index of best starting position for that clock and return clock (by reference)
335int DetectASKClock(uint8_t dest[], size_t size, int *clock, int maxErr) {
336 size_t i=1;
337 uint8_t clk[] = {255,8,16,32,40,50,64,100,128,255};
338 uint8_t clkEnd = 9;
339 uint8_t loopCnt = 255; //don't need to loop through entire array...
340 if (size <= loopCnt+60) return -1; //not enough samples
341 size -= 60; //sometimes there is a strange end wave - filter out this....
342 //if we already have a valid clock
343 uint8_t clockFnd=0;
344 for (;i<clkEnd;++i)
345 if (clk[i] == *clock) clockFnd = i;
346 //clock found but continue to find best startpos
347
348 //get high and low peak
349 int peak, low;
350 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return -1;
4d3c1796 351
d5051b98 352 //test for large clean peaks
353 if (!clockFnd){
354 if (DetectCleanAskWave(dest, size, peak, low)==1){
355 int ans = DetectStrongAskClock(dest, size, peak, low, clock);
356 if (g_debugMode==2) prnt("DEBUG ASK: detectaskclk Clean Ask Wave Detected: clk %i, ShortestWave: %i",clock, ans);
357 if (ans > 0) {
358 return ans; //return shortest wave start position
4d3c1796 359 }
4d3c1796 360 }
d5051b98 361 }
362 uint8_t ii;
363 uint8_t clkCnt, tol = 0;
364 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
365 uint8_t bestStart[]={0,0,0,0,0,0,0,0,0};
366 size_t errCnt = 0;
367 size_t arrLoc, loopEnd;
4d3c1796 368
d5051b98 369 if (clockFnd>0) {
370 clkCnt = clockFnd;
371 clkEnd = clockFnd+1;
4d3c1796 372 }
d5051b98 373 else clkCnt=1;
4d3c1796 374
d5051b98 375 //test each valid clock from smallest to greatest to see which lines up
376 for(; clkCnt < clkEnd; clkCnt++){
377 if (clk[clkCnt] <= 32){
378 tol=1;
379 }else{
380 tol=0;
ba1a299c 381 }
d5051b98 382 //if no errors allowed - keep start within the first clock
383 if (!maxErr && size > clk[clkCnt]*2 + tol && clk[clkCnt]<128) loopCnt=clk[clkCnt]*2;
384 bestErr[clkCnt]=1000;
385 //try lining up the peaks by moving starting point (try first few clocks)
386 for (ii=0; ii < loopCnt; ii++){
387 if (dest[ii] < peak && dest[ii] > low) continue;
11081e04 388
d5051b98 389 errCnt=0;
390 // now that we have the first one lined up test rest of wave array
391 loopEnd = ((size-ii-tol) / clk[clkCnt]) - 1;
392 for (i=0; i < loopEnd; ++i){
393 arrLoc = ii + (i * clk[clkCnt]);
394 if (dest[arrLoc] >= peak || dest[arrLoc] <= low){
395 }else if (dest[arrLoc-tol] >= peak || dest[arrLoc-tol] <= low){
396 }else if (dest[arrLoc+tol] >= peak || dest[arrLoc+tol] <= low){
397 }else{ //error no peak detected
398 errCnt++;
399 }
400 }
401 //if we found no errors then we can stop here and a low clock (common clocks)
402 // this is correct one - return this clock
403 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, err %d, startpos %d, endpos %d",clk[clkCnt],errCnt,ii,i);
404 if(errCnt==0 && clkCnt<7) {
405 if (!clockFnd) *clock = clk[clkCnt];
406 return ii;
407 }
408 //if we found errors see if it is lowest so far and save it as best run
409 if(errCnt<bestErr[clkCnt]){
410 bestErr[clkCnt]=errCnt;
411 bestStart[clkCnt]=ii;
412 }
4d3c1796 413 }
11081e04 414 }
d5051b98 415 uint8_t iii;
416 uint8_t best=0;
417 for (iii=1; iii<clkEnd; ++iii){
418 if (bestErr[iii] < bestErr[best]){
419 if (bestErr[iii] == 0) bestErr[iii]=1;
420 // current best bit to error ratio vs new bit to error ratio
421 if ( (size/clk[best])/bestErr[best] < (size/clk[iii])/bestErr[iii] ){
422 best = iii;
423 }
4d3c1796 424 }
d5051b98 425 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, # Errors %d, Current Best Clk %d, bestStart %d",clk[iii],bestErr[iii],clk[best],bestStart[best]);
4d3c1796 426 }
d5051b98 427 if (!clockFnd) *clock = clk[best];
428 return bestStart[best];
11081e04 429}
430
d5051b98 431int DetectStrongNRZClk(uint8_t *dest, size_t size, int peak, int low){
432 //find shortest transition from high to low
433 size_t i = 0;
434 size_t transition1 = 0;
435 int lowestTransition = 255;
436 bool lastWasHigh = false;
437
438 //find first valid beginning of a high or low wave
439 while ((dest[i] >= peak || dest[i] <= low) && (i < size))
440 ++i;
441 while ((dest[i] < peak && dest[i] > low) && (i < size))
442 ++i;
443 lastWasHigh = (dest[i] >= peak);
444
445 if (i==size) return 0;
446 transition1 = i;
447
448 for (;i < size; i++) {
449 if ((dest[i] >= peak && !lastWasHigh) || (dest[i] <= low && lastWasHigh)) {
450 lastWasHigh = (dest[i] >= peak);
451 if (i-transition1 < lowestTransition) lowestTransition = i-transition1;
452 transition1 = i;
453 }
4d3c1796 454 }
d5051b98 455 if (lowestTransition == 255) lowestTransition = 0;
456 if (g_debugMode==2) prnt("DEBUG NRZ: detectstrongNRZclk smallest wave: %d",lowestTransition);
457 return lowestTransition;
4d3c1796 458}
459
460//by marshmellow
d5051b98 461//detect nrz clock by reading #peaks vs no peaks(or errors)
462int DetectNRZClock_ext(uint8_t dest[], size_t size, int clock, size_t *clockStartIdx) {
463 size_t i=0;
464 uint8_t clk[]={8,16,32,40,50,64,100,128,255};
465 size_t loopCnt = 4096; //don't need to loop through entire array...
466 if (size == 0) return 0;
467 if (size<loopCnt) loopCnt = size-20;
468 //if we already have a valid clock quit
469 for (; i < 8; ++i)
470 if (clk[i] == clock) return clock;
471
472 //get high and low peak
473 int peak, low;
474 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return 0;
475
476 int lowestTransition = DetectStrongNRZClk(dest, size-20, peak, low);
477 size_t ii;
478 uint8_t clkCnt;
479 uint8_t tol = 0;
480 uint16_t smplCnt = 0;
481 int16_t peakcnt = 0;
482 int16_t peaksdet[] = {0,0,0,0,0,0,0,0};
483 uint16_t maxPeak = 255;
484 bool firstpeak = false;
485 //test for large clipped waves
486 for (i=0; i<loopCnt; i++){
487 if (dest[i] >= peak || dest[i] <= low){
488 if (!firstpeak) continue;
4d3c1796 489 smplCnt++;
d5051b98 490 } else {
491 firstpeak=true;
492 if (smplCnt > 6 ){
493 if (maxPeak > smplCnt){
494 maxPeak = smplCnt;
495 //prnt("maxPk: %d",maxPeak);
4d3c1796 496 }
d5051b98 497 peakcnt++;
498 //prnt("maxPk: %d, smplCnt: %d, peakcnt: %d",maxPeak,smplCnt,peakcnt);
499 smplCnt=0;
4d3c1796 500 }
501 }
502 }
d5051b98 503 bool errBitHigh = 0;
504 bool bitHigh = 0;
505 uint8_t ignoreCnt = 0;
506 uint8_t ignoreWindow = 4;
507 bool lastPeakHigh = 0;
508 int lastBit = 0;
509 size_t bestStart[]={0,0,0,0,0,0,0,0,0};
510 peakcnt=0;
511 //test each valid clock from smallest to greatest to see which lines up
512 for(clkCnt=0; clkCnt < 8; ++clkCnt){
513 //ignore clocks smaller than smallest peak
514 if (clk[clkCnt] < maxPeak - (clk[clkCnt]/4)) continue;
515 //try lining up the peaks by moving starting point (try first 256)
516 for (ii=20; ii < loopCnt; ++ii){
517 if ((dest[ii] >= peak) || (dest[ii] <= low)){
518 peakcnt = 0;
519 bitHigh = false;
520 ignoreCnt = 0;
521 lastBit = ii-clk[clkCnt];
522 //loop through to see if this start location works
523 for (i = ii; i < size-20; ++i) {
524 //if we are at a clock bit
525 if ((i >= lastBit + clk[clkCnt] - tol) && (i <= lastBit + clk[clkCnt] + tol)) {
526 //test high/low
527 if (dest[i] >= peak || dest[i] <= low) {
528 //if same peak don't count it
529 if ((dest[i] >= peak && !lastPeakHigh) || (dest[i] <= low && lastPeakHigh)) {
530 peakcnt++;
531 }
532 lastPeakHigh = (dest[i] >= peak);
533 bitHigh = true;
534 errBitHigh = false;
535 ignoreCnt = ignoreWindow;
536 lastBit += clk[clkCnt];
537 } else if (i == lastBit + clk[clkCnt] + tol) {
538 lastBit += clk[clkCnt];
539 }
540 //else if not a clock bit and no peaks
541 } else if (dest[i] < peak && dest[i] > low){
542 if (ignoreCnt==0){
543 bitHigh=false;
544 if (errBitHigh==true) peakcnt--;
545 errBitHigh=false;
546 } else {
547 ignoreCnt--;
548 }
549 // else if not a clock bit but we have a peak
550 } else if ((dest[i]>=peak || dest[i]<=low) && (!bitHigh)) {
551 //error bar found no clock...
552 errBitHigh=true;
553 }
554 }
555 if(peakcnt>peaksdet[clkCnt]) {
556 bestStart[clkCnt]=ii;
557 peaksdet[clkCnt]=peakcnt;
558 }
4d3c1796 559 }
4d3c1796 560 }
4d3c1796 561 }
d5051b98 562 int iii=7;
563 uint8_t best=0;
564 for (iii=7; iii > 0; iii--){
565 if ((peaksdet[iii] >= (peaksdet[best]-1)) && (peaksdet[iii] <= peaksdet[best]+1) && lowestTransition) {
566 if (clk[iii] > (lowestTransition - (clk[iii]/8)) && clk[iii] < (lowestTransition + (clk[iii]/8))) {
567 best = iii;
4d3c1796 568 }
d5051b98 569 } else if (peaksdet[iii] > peaksdet[best]){
570 best = iii;
4d3c1796 571 }
d5051b98 572 if (g_debugMode==2) prnt("DEBUG NRZ: Clk: %d, peaks: %d, maxPeak: %d, bestClk: %d, lowestTrs: %d",clk[iii],peaksdet[iii],maxPeak, clk[best], lowestTransition);
4d3c1796 573 }
d5051b98 574 *clockStartIdx = bestStart[best];
575 return clk[best];
4d3c1796 576}
d5051b98 577
578int DetectNRZClock(uint8_t dest[], size_t size, int clock) {
579 size_t bestStart=0;
580 return DetectNRZClock_ext(dest, size, clock, &bestStart);
4d3c1796 581}
582
d5051b98 583//by marshmellow
584//countFC is to detect the field clock lengths.
585//counts and returns the 2 most common wave lengths
586//mainly used for FSK field clock detection
587uint16_t countFC(uint8_t *BitStream, size_t size, uint8_t fskAdj) {
588 uint8_t fcLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
589 uint16_t fcCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
590 uint8_t fcLensFnd = 0;
591 uint8_t lastFCcnt = 0;
592 uint8_t fcCounter = 0;
593 size_t i;
594 if (size < 180) return 0;
c85858f5 595
d5051b98 596 // prime i to first up transition
597 for (i = 160; i < size-20; i++)
598 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1])
599 break;
ba1a299c 600
d5051b98 601 for (; i < size-20; i++){
602 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1]){
603 // new up transition
604 fcCounter++;
605 if (fskAdj){
606 //if we had 5 and now have 9 then go back to 8 (for when we get a fc 9 instead of an 8)
607 if (lastFCcnt==5 && fcCounter==9) fcCounter--;
608 //if fc=9 or 4 add one (for when we get a fc 9 instead of 10 or a 4 instead of a 5)
609 if ((fcCounter==9) || fcCounter==4) fcCounter++;
610 // save last field clock count (fc/xx)
611 lastFCcnt = fcCounter;
612 }
613 // find which fcLens to save it to:
614 for (int ii=0; ii<15; ii++){
615 if (fcLens[ii]==fcCounter){
616 fcCnts[ii]++;
617 fcCounter=0;
618 break;
f4eadf8a 619 }
ba1a299c 620 }
d5051b98 621 if (fcCounter>0 && fcLensFnd<15){
622 //add new fc length
623 fcCnts[fcLensFnd]++;
624 fcLens[fcLensFnd++]=fcCounter;
625 }
626 fcCounter=0;
627 } else {
628 // count sample
629 fcCounter++;
ba1a299c 630 }
631 }
d5051b98 632
633 uint8_t best1=14, best2=14, best3=14;
634 uint16_t maxCnt1=0;
635 // go through fclens and find which ones are bigest 2
636 for (i=0; i<15; i++){
637 // get the 3 best FC values
638 if (fcCnts[i]>maxCnt1) {
639 best3=best2;
640 best2=best1;
641 maxCnt1=fcCnts[i];
642 best1=i;
643 } else if(fcCnts[i]>fcCnts[best2]){
644 best3=best2;
645 best2=i;
646 } else if(fcCnts[i]>fcCnts[best3]){
647 best3=i;
648 }
649 if (g_debugMode==2) prnt("DEBUG countfc: FC %u, Cnt %u, best fc: %u, best2 fc: %u",fcLens[i],fcCnts[i],fcLens[best1],fcLens[best2]);
650 }
651 if (fcLens[best1]==0) return 0;
652 uint8_t fcH=0, fcL=0;
653 if (fcLens[best1]>fcLens[best2]){
654 fcH=fcLens[best1];
655 fcL=fcLens[best2];
656 } else{
657 fcH=fcLens[best2];
658 fcL=fcLens[best1];
659 }
660 if ((size-180)/fcH/3 > fcCnts[best1]+fcCnts[best2]) {
661 if (g_debugMode==2) prnt("DEBUG countfc: fc is too large: %u > %u. Not psk or fsk",(size-180)/fcH/3,fcCnts[best1]+fcCnts[best2]);
662 return 0; //lots of waves not psk or fsk
663 }
664 // TODO: take top 3 answers and compare to known Field clocks to get top 2
665
666 uint16_t fcs = (((uint16_t)fcH)<<8) | fcL;
667 if (fskAdj) return fcs;
668 return fcLens[best1];
eb191de6 669}
670
d5051b98 671//by marshmellow
672//detect psk clock by reading each phase shift
673// a phase shift is determined by measuring the sample length of each wave
674int DetectPSKClock_ext(uint8_t dest[], size_t size, int clock, int *firstPhaseShift) {
675 uint8_t clk[]={255,16,32,40,50,64,100,128,255}; //255 is not a valid clock
676 uint16_t loopCnt = 4096; //don't need to loop through entire array...
677 if (size == 0) return 0;
678 if (size<loopCnt) loopCnt = size-20;
679
680 //if we already have a valid clock quit
681 size_t i=1;
682 for (; i < 8; ++i)
683 if (clk[i] == clock) return clock;
684
685 size_t waveStart=0, waveEnd=0, firstFullWave=0, lastClkBit=0;
686 uint8_t clkCnt, fc=0, fullWaveLen=0, tol=1;
687 uint16_t peakcnt=0, errCnt=0, waveLenCnt=0;
688 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
689 uint16_t peaksdet[]={0,0,0,0,0,0,0,0,0};
690 fc = countFC(dest, size, 0);
691 if (fc!=2 && fc!=4 && fc!=8) return -1;
692 if (g_debugMode==2) prnt("DEBUG PSK: FC: %d",fc);
693
694 //find first full wave
695 for (i=160; i<loopCnt; i++){
696 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
697 if (waveStart == 0) {
698 waveStart = i+1;
699 //prnt("DEBUG: waveStart: %d",waveStart);
669959bc 700 } else {
d5051b98 701 waveEnd = i+1;
702 //prnt("DEBUG: waveEnd: %d",waveEnd);
703 waveLenCnt = waveEnd-waveStart;
704 if (waveLenCnt > fc){
705 firstFullWave = waveStart;
706 fullWaveLen=waveLenCnt;
707 break;
708 }
709 waveStart=0;
669959bc 710 }
711 }
d5051b98 712 }
713 *firstPhaseShift = firstFullWave;
714 if (g_debugMode ==2) prnt("DEBUG PSK: firstFullWave: %d, waveLen: %d",firstFullWave,fullWaveLen);
715 //test each valid clock from greatest to smallest to see which lines up
716 for(clkCnt=7; clkCnt >= 1 ; clkCnt--){
717 lastClkBit = firstFullWave; //set end of wave as clock align
718 waveStart = 0;
719 errCnt=0;
720 peakcnt=0;
721 if (g_debugMode == 2) prnt("DEBUG PSK: clk: %d, lastClkBit: %d",clk[clkCnt],lastClkBit);
ba1a299c 722
d5051b98 723 for (i = firstFullWave+fullWaveLen-1; i < loopCnt-2; i++){
724 //top edge of wave = start of new wave
725 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
726 if (waveStart == 0) {
727 waveStart = i+1;
728 waveLenCnt=0;
729 } else { //waveEnd
730 waveEnd = i+1;
731 waveLenCnt = waveEnd-waveStart;
732 if (waveLenCnt > fc){
733 //if this wave is a phase shift
734 if (g_debugMode == 2) prnt("DEBUG PSK: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+clk[clkCnt]-tol,i+1,fc);
735 if (i+1 >= lastClkBit + clk[clkCnt] - tol){ //should be a clock bit
736 peakcnt++;
737 lastClkBit+=clk[clkCnt];
738 } else if (i<lastClkBit+8){
739 //noise after a phase shift - ignore
740 } else { //phase shift before supposed to based on clock
741 errCnt++;
742 }
743 } else if (i+1 > lastClkBit + clk[clkCnt] + tol + fc){
744 lastClkBit+=clk[clkCnt]; //no phase shift but clock bit
745 }
746 waveStart=i+1;
747 }
748 }
13d77ef9 749 }
d5051b98 750 if (errCnt == 0){
751 return clk[clkCnt];
752 }
753 if (errCnt <= bestErr[clkCnt]) bestErr[clkCnt]=errCnt;
754 if (peakcnt > peaksdet[clkCnt]) peaksdet[clkCnt]=peakcnt;
755 }
756 //all tested with errors
757 //return the highest clk with the most peaks found
758 uint8_t best=7;
759 for (i=7; i>=1; i--){
760 if (peaksdet[i] > peaksdet[best]) {
761 best = i;
762 }
763 if (g_debugMode == 2) prnt("DEBUG PSK: Clk: %d, peaks: %d, errs: %d, bestClk: %d",clk[i],peaksdet[i],bestErr[i],clk[best]);
13d77ef9 764 }
d5051b98 765 return clk[best];
eb191de6 766}
6fe5c94b 767
d5051b98 768int DetectPSKClock(uint8_t dest[], size_t size, int clock) {
769 int firstPhaseShift = 0;
770 return DetectPSKClock_ext(dest, size, clock, &firstPhaseShift);
eb191de6 771}
a1d17964 772
d5051b98 773//by marshmellow
774//detects the bit clock for FSK given the high and low Field Clocks
775uint8_t detectFSKClk_ext(uint8_t *BitStream, size_t size, uint8_t fcHigh, uint8_t fcLow, int *firstClockEdge) {
776 uint8_t clk[] = {8,16,32,40,50,64,100,128,0};
777 uint16_t rfLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
778 uint8_t rfCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
779 uint8_t rfLensFnd = 0;
780 uint8_t lastFCcnt = 0;
781 uint16_t fcCounter = 0;
782 uint16_t rfCounter = 0;
783 uint8_t firstBitFnd = 0;
784 size_t i;
785 if (size == 0) return 0;
669959bc 786
d5051b98 787 uint8_t fcTol = ((fcHigh*100 - fcLow*100)/2 + 50)/100; //(uint8_t)(0.5+(float)(fcHigh-fcLow)/2);
788 rfLensFnd=0;
789 fcCounter=0;
790 rfCounter=0;
791 firstBitFnd=0;
792 //PrintAndLog("DEBUG: fcTol: %d",fcTol);
793 // prime i to first peak / up transition
794 for (i = 160; i < size-20; i++)
795 if (BitStream[i] > BitStream[i-1] && BitStream[i]>=BitStream[i+1])
796 break;
797
798 for (; i < size-20; i++){
799 fcCounter++;
800 rfCounter++;
801
802 if (BitStream[i] <= BitStream[i-1] || BitStream[i] < BitStream[i+1])
803 continue;
804 // else new peak
805 // if we got less than the small fc + tolerance then set it to the small fc
806 // if it is inbetween set it to the last counter
807 if (fcCounter < fcHigh && fcCounter > fcLow)
808 fcCounter = lastFCcnt;
809 else if (fcCounter < fcLow+fcTol)
810 fcCounter = fcLow;
811 else //set it to the large fc
812 fcCounter = fcHigh;
813
814 //look for bit clock (rf/xx)
815 if ((fcCounter < lastFCcnt || fcCounter > lastFCcnt)){
816 //not the same size as the last wave - start of new bit sequence
817 if (firstBitFnd > 1){ //skip first wave change - probably not a complete bit
818 for (int ii=0; ii<15; ii++){
819 if (rfLens[ii] >= (rfCounter-4) && rfLens[ii] <= (rfCounter+4)){
820 rfCnts[ii]++;
821 rfCounter = 0;
822 break;
823 }
824 }
825 if (rfCounter > 0 && rfLensFnd < 15){
826 //PrintAndLog("DEBUG: rfCntr %d, fcCntr %d",rfCounter,fcCounter);
827 rfCnts[rfLensFnd]++;
828 rfLens[rfLensFnd++] = rfCounter;
829 }
830 } else {
831 *firstClockEdge = i;
832 firstBitFnd++;
833 }
834 rfCounter=0;
835 lastFCcnt=fcCounter;
e0165dcf 836 }
d5051b98 837 fcCounter=0;
e0165dcf 838 }
d5051b98 839 uint8_t rfHighest=15, rfHighest2=15, rfHighest3=15;
840
841 for (i=0; i<15; i++){
842 //get highest 2 RF values (might need to get more values to compare or compare all?)
843 if (rfCnts[i]>rfCnts[rfHighest]){
844 rfHighest3=rfHighest2;
845 rfHighest2=rfHighest;
846 rfHighest=i;
847 } else if(rfCnts[i]>rfCnts[rfHighest2]){
848 rfHighest3=rfHighest2;
849 rfHighest2=i;
850 } else if(rfCnts[i]>rfCnts[rfHighest3]){
851 rfHighest3=i;
852 }
853 if (g_debugMode==2) prnt("DEBUG FSK: RF %d, cnts %d",rfLens[i], rfCnts[i]);
854 }
855 // set allowed clock remainder tolerance to be 1 large field clock length+1
856 // we could have mistakenly made a 9 a 10 instead of an 8 or visa versa so rfLens could be 1 FC off
857 uint8_t tol1 = fcHigh+1;
858
859 if (g_debugMode==2) prnt("DEBUG FSK: most counted rf values: 1 %d, 2 %d, 3 %d",rfLens[rfHighest],rfLens[rfHighest2],rfLens[rfHighest3]);
eb191de6 860
d5051b98 861 // loop to find the highest clock that has a remainder less than the tolerance
862 // compare samples counted divided by
863 // test 128 down to 32 (shouldn't be possible to have fc/10 & fc/8 and rf/16 or less)
864 int ii=7;
865 for (; ii>=2; ii--){
866 if (rfLens[rfHighest] % clk[ii] < tol1 || rfLens[rfHighest] % clk[ii] > clk[ii]-tol1){
867 if (rfLens[rfHighest2] % clk[ii] < tol1 || rfLens[rfHighest2] % clk[ii] > clk[ii]-tol1){
868 if (rfLens[rfHighest3] % clk[ii] < tol1 || rfLens[rfHighest3] % clk[ii] > clk[ii]-tol1){
869 if (g_debugMode==2) prnt("DEBUG FSK: clk %d divides into the 3 most rf values within tolerance",clk[ii]);
870 break;
871 }
872 }
4d3c1796 873 }
ec75f5c1 874 }
ec75f5c1 875
d5051b98 876 if (ii<2) return 0; // oops we went too far
eb191de6 877
d5051b98 878 return clk[ii];
879}
415274a7 880
d5051b98 881uint8_t detectFSKClk(uint8_t *BitStream, size_t size, uint8_t fcHigh, uint8_t fcLow) {
882 int firstClockEdge = 0;
883 return detectFSKClk_ext(BitStream, size, fcHigh, fcLow, &firstClockEdge);
884}
415274a7 885
d5051b98 886//**********************************************************************************************
887//--------------------Modulation Demods &/or Decoding Section-----------------------------------
888//**********************************************************************************************
1e090a61 889
c83d6dc6 890void getNextLow(uint8_t samples[], size_t size, int low, int *i) {
891 while ((samples[*i] > low) && (*i < size))
892 *i+=1;
893}
894void getNextHigh(uint8_t samples[], size_t size, int high, int *i) {
895 while ((samples[*i] < high) && (*i < size))
896 *i+=1;
897}
898
899// load wave counters
900bool loadWaveCounters(uint8_t samples[], size_t size, int lowToLowWaveLen[], int highToLowWaveLen[], int *waveCnt, int *skip, int *minClk, int *high, int *low) {
901 int i=0, start, waveStart;
902 size_t testsize = (size < 512) ? size : 512;
903
904 if ( getHiLo(samples, testsize, high, low, 80, 80) == -1 ) {
905 if (g_debugMode==2) prnt("DEBUG STT: just noise detected - quitting");
906 return false; //just noise
907 }
908
909 // get to first full low to prime loop and skip incomplete first pulse
910 getNextHigh(samples, size, *high, &i);
911 getNextLow(samples, size, *low, &i);
912 *skip = i;
913
914 // populate tmpbuff buffer with pulse lengths
915 while (i < size) {
916 // measure from low to low
917 getNextLow(samples, size, *low, &i);
918 start = i;
919
920 //find first high point for this wave
921 getNextHigh(samples, size, *high, &i);
922 waveStart = i;
923
924 getNextLow(samples, size, *low, &i);
925
926 if (*waveCnt >= (size/32))
927 break;
928
929 highToLowWaveLen[*waveCnt] = i - waveStart; //first high to first low
930 lowToLowWaveLen[*waveCnt] = i - start;
931 *waveCnt += 1;
932 if (i-start < *minClk && i < size) {
933 *minClk = i - start;
934 }
935 }
936 return true;
937}
938
d5051b98 939// look for Sequence Terminator - should be pulses of clk*(1 or 2), clk*2, clk*(1.5 or 2), by idx we mean graph position index...
549daaf7 940bool findST(int *stStopLoc, int *stStartIdx, int lowToLowWaveLen[], int highToLowWaveLen[], int clk, int tol, int buffSize, int *i) {
941 for (; *i < buffSize - 4; *i+=1) {
942 *stStartIdx += lowToLowWaveLen[*i]; //caution part of this wave may be data and part may be ST.... to be accounted for in main function for now...
943 if (lowToLowWaveLen[*i] >= clk*1-tol && lowToLowWaveLen[*i] <= (clk*2)+tol && highToLowWaveLen[*i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
944 if (lowToLowWaveLen[*i+1] >= clk*2-tol && lowToLowWaveLen[*i+1] <= clk*2+tol && highToLowWaveLen[*i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
945 if (lowToLowWaveLen[*i+2] >= (clk*3)/2-tol && lowToLowWaveLen[*i+2] <= clk*2+tol && highToLowWaveLen[*i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
946 if (lowToLowWaveLen[*i+3] >= clk*1-tol && lowToLowWaveLen[*i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
947 *stStopLoc = *i + 3;
d5051b98 948 return true;
4d3c1796 949 }
4d3c1796 950 }
4d3c1796 951 }
952 }
4d3c1796 953 }
d5051b98 954 return false;
6923d3f1 955}
d5051b98 956//by marshmellow
957//attempt to identify a Sequence Terminator in ASK modulated raw wave
958bool DetectST_ext(uint8_t buffer[], size_t *size, int *foundclock, size_t *ststart, size_t *stend) {
959 size_t bufsize = *size;
960 //need to loop through all samples and identify our clock, look for the ST pattern
4d3c1796 961 uint8_t fndClk[] = {8,16,32,40,50,64,128};
d5051b98 962 int clk = 0;
963 int tol = 0;
c83d6dc6 964 int i=0, j, skip, start, end, low, high, minClk=255;
d5051b98 965 //probably should malloc... || test if memory is available ... handle device side? memory danger!!! [marshmellow]
966 int tmpbuff[bufsize / 32]; // low to low wave count //guess rf/32 clock, if click is smaller we will only have room for a fraction of the samples captured
967 int waveLen[bufsize / 32]; // high to low wave count //if clock is larger then we waste memory in array size that is not needed...
c83d6dc6 968 //size_t testsize = (bufsize < 512) ? bufsize : 512;
d5051b98 969 int phaseoff = 0;
970 high = low = 128;
971 memset(tmpbuff, 0, sizeof(tmpbuff));
549daaf7 972 memset(waveLen, 0, sizeof(waveLen));
d5051b98 973
c83d6dc6 974 if (!loadWaveCounters(buffer, bufsize, tmpbuff, waveLen, &j, &skip, &minClk, &high, &low)) return false;
d5051b98 975 // set clock - might be able to get this externally and remove this work...
976 if (!clk) {
977 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
978 tol = fndClk[clkCnt]/8;
979 if (minClk >= fndClk[clkCnt]-tol && minClk <= fndClk[clkCnt]+1) {
980 clk=fndClk[clkCnt];
981 break;
e0165dcf 982 }
983 }
d5051b98 984 // clock not found - ERROR
985 if (!clk) {
986 if (g_debugMode==2) prnt("DEBUG STT: clock not found - quitting");
987 return false;
988 }
989 } else tol = clk/8;
6e984446 990
d5051b98 991 *foundclock = clk;
549daaf7 992 if (!findST(&start, &skip, tmpbuff, waveLen, clk, tol, j, &i)) {
d5051b98 993 // first ST not found - ERROR
994 if (g_debugMode==2) prnt("DEBUG STT: first STT not found - quitting");
995 return false;
996 } else {
549daaf7 997 if (g_debugMode==2) prnt("DEBUG STT: first STT found at wave: %i, skip: %i, j=%i", start, skip, j);
cc15a118 998 }
d5051b98 999 if (waveLen[i+2] > clk*1+tol)
1000 phaseoff = 0;
1001 else
1002 phaseoff = clk/2;
1003
1004 // skip over the remainder of ST
1005 skip += clk*7/2; //3.5 clocks from tmpbuff[i] = end of st - also aligns for ending point
2eec55c8 1006
d5051b98 1007 // now do it again to find the end
1008 int dummy1 = 0;
1009 end = skip;
549daaf7 1010 i+=3;
1011 if (!findST(&dummy1, &end, tmpbuff, waveLen, clk, tol, j, &i)) {
d5051b98 1012 //didn't find second ST - ERROR
1013 if (g_debugMode==2) prnt("DEBUG STT: second STT not found - quitting");
1014 return false;
1015 }
1016 end -= phaseoff;
1017 if (g_debugMode==2) prnt("DEBUG STT: start of data: %d end of data: %d, datalen: %d, clk: %d, bits: %d, phaseoff: %d", skip, end, end-skip, clk, (end-skip)/clk, phaseoff);
1018 //now begin to trim out ST so we can use normal demod cmds
1019 start = skip;
1020 size_t datalen = end - start;
1021 // check validity of datalen (should be even clock increments) - use a tolerance of up to 1/8th a clock
1022 if ( clk - (datalen % clk) <= clk/8) {
1023 // padd the amount off - could be problematic... but shouldn't happen often
1024 datalen += clk - (datalen % clk);
1025 } else if ( (datalen % clk) <= clk/8 ) {
1026 // padd the amount off - could be problematic... but shouldn't happen often
1027 datalen -= datalen % clk;
1028 } else {
1029 if (g_debugMode==2) prnt("DEBUG STT: datalen not divisible by clk: %u %% %d = %d - quitting", datalen, clk, datalen % clk);
1030 return false;
1031 }
1032 // if datalen is less than one t55xx block - ERROR
1033 if (datalen/clk < 8*4) {
1034 if (g_debugMode==2) prnt("DEBUG STT: datalen is less than 1 full t55xx block - quitting");
1035 return false;
1036 }
1037 size_t dataloc = start;
1038 if (buffer[dataloc-(clk*4)-(clk/8)] <= low && buffer[dataloc] <= low && buffer[dataloc-(clk*4)] >= high) {
1039 //we have low drift (and a low just before the ST and a low just after the ST) - compensate by backing up the start
1040 for ( i=0; i <= (clk/8); ++i ) {
1041 if ( buffer[dataloc - (clk*4) - i] <= low ) {
1042 dataloc -= i;
1043 break;
2eec55c8 1044 }
e0165dcf 1045 }
1046 }
d5051b98 1047
1048 size_t newloc = 0;
1049 i=0;
1050 if (g_debugMode==2) prnt("DEBUG STT: Starting STT trim - start: %d, datalen: %d ",dataloc, datalen);
1051 bool firstrun = true;
1052 // warning - overwriting buffer given with raw wave data with ST removed...
1053 while ( dataloc < bufsize-(clk/2) ) {
1054 //compensate for long high at end of ST not being high due to signal loss... (and we cut out the start of wave high part)
1055 if (buffer[dataloc]<high && buffer[dataloc]>low && buffer[dataloc+3]<high && buffer[dataloc+3]>low) {
1056 for(i=0; i < clk/2-tol; ++i) {
1057 buffer[dataloc+i] = high+5;
1058 }
1059 } //test for single sample outlier (high between two lows) in the case of very strong waves
1060 if (buffer[dataloc] >= high && buffer[dataloc+2] <= low) {
1061 buffer[dataloc] = buffer[dataloc+2];
1062 buffer[dataloc+1] = buffer[dataloc+2];
1063 }
1064 if (firstrun) {
1065 *stend = dataloc;
1066 *ststart = dataloc-(clk*4);
1067 firstrun=false;
1068 }
1069 for (i=0; i<datalen; ++i) {
1070 if (i+newloc < bufsize) {
1071 if (i+newloc < dataloc)
1072 buffer[i+newloc] = buffer[dataloc];
1073
1074 dataloc++;
e0165dcf 1075 }
1076 }
d5051b98 1077 newloc += i;
1078 //skip next ST - we just assume it will be there from now on...
1079 if (g_debugMode==2) prnt("DEBUG STT: skipping STT at %d to %d", dataloc, dataloc+(clk*4));
1080 dataloc += clk*4;
e0165dcf 1081 }
d5051b98 1082 *size = newloc;
1083 return true;
1084}
1085bool DetectST(uint8_t buffer[], size_t *size, int *foundclock) {
1086 size_t ststart = 0, stend = 0;
1087 return DetectST_ext(buffer, size, foundclock, &ststart, &stend);
eb191de6 1088}
ba1a299c 1089
549daaf7 1090//by marshmellow
127f1490 1091//take 11 10 01 11 00 and make 01100 ... miller decoding
549daaf7 1092//check for phase errors - should never have half a 1 or 0 by itself and should never exceed 1111 or 0000 in a row
1093//decodes miller encoded binary
1094//NOTE askrawdemod will NOT demod miller encoded ask unless the clock is manually set to 1/2 what it is detected as!
127f1490 1095int millerRawDecode(uint8_t *BitStream, size_t *size, int invert) {
549daaf7 1096 if (*size < 16) return -1;
127f1490 1097 uint16_t MaxBits = 512, errCnt = 0;
1098 size_t i, bitCnt=0;
1099 uint8_t alignCnt = 0, curBit = BitStream[0], alignedIdx = 0;
1100 uint8_t halfClkErr = 0;
549daaf7 1101 //find alignment, needs 4 1s or 0s to properly align
127f1490 1102 for (i=1; i < *size-1; i++) {
549daaf7 1103 alignCnt = (BitStream[i] == curBit) ? alignCnt+1 : 0;
1104 curBit = BitStream[i];
1105 if (alignCnt == 4) break;
1106 }
1107 // for now error if alignment not found. later add option to run it with multiple offsets...
1108 if (alignCnt != 4) {
1109 if (g_debugMode) prnt("ERROR MillerDecode: alignment not found so either your bitstream is not miller or your data does not have a 101 in it");
1110 return -1;
1111 }
127f1490 1112 alignedIdx = (i-1) % 2;
1113 for (i=alignedIdx; i < *size-3; i+=2) {
1114 halfClkErr = (uint8_t)((halfClkErr << 1 | BitStream[i]) & 0xFF);
1115 if ( (halfClkErr & 0x7) == 5 || (halfClkErr & 0x7) == 2 || (i > 2 && (halfClkErr & 0x7) == 0) || (halfClkErr & 0x1F) == 0x1F) {
1116 errCnt++;
1117 BitStream[bitCnt++] = 7;
1118 continue;
1119 }
1120 BitStream[bitCnt++] = BitStream[i] ^ BitStream[i+1] ^ invert;
549daaf7 1121
127f1490 1122 if (bitCnt > MaxBits) break;
1123 }
1124 *size = bitCnt;
1125 return errCnt;
1126}
549daaf7 1127
d5051b98 1128//by marshmellow
1129//take 01 or 10 = 1 and 11 or 00 = 0
1130//check for phase errors - should never have 111 or 000 should be 01001011 or 10110100 for 1010
1131//decodes biphase or if inverted it is AKA conditional dephase encoding AKA differential manchester encoding
1132int BiphaseRawDecode(uint8_t *BitStream, size_t *size, int offset, int invert) {
1133 uint16_t bitnum = 0;
1134 uint16_t errCnt = 0;
1135 size_t i = offset;
1136 uint16_t MaxBits=512;
1137 //if not enough samples - error
1138 if (*size < 51) return -1;
1139 //check for phase change faults - skip one sample if faulty
1140 uint8_t offsetA = 1, offsetB = 1;
1141 for (; i<48; i+=2){
1142 if (BitStream[i+1]==BitStream[i+2]) offsetA=0;
1143 if (BitStream[i+2]==BitStream[i+3]) offsetB=0;
1144 }
1145 if (!offsetA && offsetB) offset++;
1146 for (i=offset; i<*size-3; i+=2){
1147 //check for phase error
1148 if (BitStream[i+1]==BitStream[i+2]) {
1149 BitStream[bitnum++]=7;
1150 errCnt++;
1151 }
1152 if((BitStream[i]==1 && BitStream[i+1]==0) || (BitStream[i]==0 && BitStream[i+1]==1)){
1153 BitStream[bitnum++]=1^invert;
1154 } else if((BitStream[i]==0 && BitStream[i+1]==0) || (BitStream[i]==1 && BitStream[i+1]==1)){
1155 BitStream[bitnum++]=invert;
1156 } else {
1157 BitStream[bitnum++]=7;
1158 errCnt++;
db829602 1159 }
d5051b98 1160 if(bitnum>MaxBits) break;
db829602 1161 }
d5051b98 1162 *size=bitnum;
1163 return errCnt;
db829602 1164}
1165
6de43508 1166//by marshmellow
d5051b98 1167//take 10 and 01 and manchester decode
1168//run through 2 times and take least errCnt
1169int manrawdecode(uint8_t * BitStream, size_t *size, uint8_t invert, uint8_t *alignPos) {
1170 uint16_t bitnum=0, MaxBits = 512, errCnt = 0;
1171 size_t i, ii;
1172 uint16_t bestErr = 1000, bestRun = 0;
1173 if (*size < 16) return -1;
1174 //find correct start position [alignment]
1175 for (ii=0;ii<2;++ii){
1176 for (i=ii; i<*size-3; i+=2)
1177 if (BitStream[i]==BitStream[i+1])
1178 errCnt++;
1179
1180 if (bestErr>errCnt){
1181 bestErr=errCnt;
1182 bestRun=ii;
1183 }
1184 errCnt=0;
1185 }
1186 *alignPos=bestRun;
1187 //decode
1188 for (i=bestRun; i < *size-3; i+=2){
1189 if(BitStream[i] == 1 && (BitStream[i+1] == 0)){
1190 BitStream[bitnum++]=invert;
1191 } else if((BitStream[i] == 0) && BitStream[i+1] == 1){
1192 BitStream[bitnum++]=invert^1;
e0165dcf 1193 } else {
d5051b98 1194 BitStream[bitnum++]=7;
e0165dcf 1195 }
d5051b98 1196 if(bitnum>MaxBits) break;
e0165dcf 1197 }
d5051b98 1198 *size=bitnum;
1199 return bestErr;
1200}
1201
1202//by marshmellow
1203//demodulates strong heavily clipped samples
1204int cleanAskRawDemod(uint8_t *BinStream, size_t *size, int clk, int invert, int high, int low, int *startIdx)
1205{
1206 *startIdx=0;
1207 size_t bitCnt=0, smplCnt=1, errCnt=0;
1208 bool waveHigh = (BinStream[0] >= high);
1209 for (size_t i=1; i < *size; i++){
1210 if (BinStream[i] >= high && waveHigh){
1211 smplCnt++;
1212 } else if (BinStream[i] <= low && !waveHigh){
1213 smplCnt++;
1214 } else { //transition
1215 if ((BinStream[i] >= high && !waveHigh) || (BinStream[i] <= low && waveHigh)){
1216 if (smplCnt > clk-(clk/4)-1) { //full clock
1217 if (smplCnt > clk + (clk/4)+1) { //too many samples
1218 errCnt++;
1219 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
1220 BinStream[bitCnt++] = 7;
1221 } else if (waveHigh) {
1222 BinStream[bitCnt++] = invert;
1223 BinStream[bitCnt++] = invert;
1224 } else if (!waveHigh) {
1225 BinStream[bitCnt++] = invert ^ 1;
1226 BinStream[bitCnt++] = invert ^ 1;
e0165dcf 1227 }
d5051b98 1228 if (*startIdx==0) *startIdx = i-clk;
1229 waveHigh = !waveHigh;
1230 smplCnt = 0;
1231 } else if (smplCnt > (clk/2) - (clk/4)-1) { //half clock
1232 if (waveHigh) {
1233 BinStream[bitCnt++] = invert;
1234 } else if (!waveHigh) {
1235 BinStream[bitCnt++] = invert ^ 1;
1236 }
1237 if (*startIdx==0) *startIdx = i-(clk/2);
1238 waveHigh = !waveHigh;
1239 smplCnt = 0;
1240 } else {
1241 smplCnt++;
1242 //transition bit oops
e0165dcf 1243 }
d5051b98 1244 } else { //haven't hit new high or new low yet
1245 smplCnt++;
db829602 1246 }
e0165dcf 1247 }
e0165dcf 1248 }
d5051b98 1249 *size = bitCnt;
1250 return errCnt;
669959bc 1251}
1252
4d3c1796 1253//by marshmellow
d5051b98 1254//attempts to demodulate ask modulations, askType == 0 for ask/raw, askType==1 for ask/manchester
1255int askdemod_ext(uint8_t *BinStream, size_t *size, int *clk, int *invert, int maxErr, uint8_t amp, uint8_t askType, int *startIdx) {
1256 if (*size==0) return -1;
1257 int start = DetectASKClock(BinStream, *size, clk, maxErr); //clock default
1258 if (*clk==0 || start < 0) return -3;
1259 if (*invert != 1) *invert = 0;
1260 if (amp==1) askAmp(BinStream, *size);
1261 if (g_debugMode==2) prnt("DEBUG ASK: clk %d, beststart %d, amp %d", *clk, start, amp);
4d3c1796 1262
d5051b98 1263 //start pos from detect ask clock is 1/2 clock offset
1264 // NOTE: can be negative (demod assumes rest of wave was there)
1265 *startIdx = start - (*clk/2);
1266 uint8_t initLoopMax = 255;
1267 if (initLoopMax > *size) initLoopMax = *size;
1268 // Detect high and lows
1269 //25% clip in case highs and lows aren't clipped [marshmellow]
1270 int high, low;
1271 if (getHiLo(BinStream, initLoopMax, &high, &low, 75, 75) < 1)
1272 return -2; //just noise
4d3c1796 1273
d5051b98 1274 size_t errCnt = 0;
1275 // if clean clipped waves detected run alternate demod
1276 if (DetectCleanAskWave(BinStream, *size, high, low)) {
1277 if (g_debugMode==2) prnt("DEBUG ASK: Clean Wave Detected - using clean wave demod");
1278 errCnt = cleanAskRawDemod(BinStream, size, *clk, *invert, high, low, startIdx);
1279 if (askType) { //askman
1280 uint8_t alignPos = 0;
1281 errCnt = manrawdecode(BinStream, size, 0, &alignPos);
1282 *startIdx += *clk/2 * alignPos;
1283 if (g_debugMode) prnt("DEBUG ASK CLEAN: startIdx %i, alignPos %u", *startIdx, alignPos);
1284 return errCnt;
1285 } else { //askraw
1286 return errCnt;
1287 }
1288 }
1289 if (g_debugMode) prnt("DEBUG ASK WEAK: startIdx %i", *startIdx);
1290 if (g_debugMode==2) prnt("DEBUG ASK: Weak Wave Detected - using weak wave demod");
1291
1292 int lastBit; //set first clock check - can go negative
1293 size_t i, bitnum = 0; //output counter
1294 uint8_t midBit = 0;
1295 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
1296 if (*clk <= 32) tol = 1; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
1297 size_t MaxBits = 3072; //max bits to collect
1298 lastBit = start - *clk;
1299
1300 for (i = start; i < *size; ++i) {
1301 if (i-lastBit >= *clk-tol){
1302 if (BinStream[i] >= high) {
1303 BinStream[bitnum++] = *invert;
1304 } else if (BinStream[i] <= low) {
1305 BinStream[bitnum++] = *invert ^ 1;
1306 } else if (i-lastBit >= *clk+tol) {
1307 if (bitnum > 0) {
1308 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
1309 BinStream[bitnum++]=7;
1310 errCnt++;
1311 }
1312 } else { //in tolerance - looking for peak
1313 continue;
4d3c1796 1314 }
d5051b98 1315 midBit = 0;
1316 lastBit += *clk;
1317 } else if (i-lastBit >= (*clk/2-tol) && !midBit && !askType){
1318 if (BinStream[i] >= high) {
1319 BinStream[bitnum++] = *invert;
1320 } else if (BinStream[i] <= low) {
1321 BinStream[bitnum++] = *invert ^ 1;
1322 } else if (i-lastBit >= *clk/2+tol) {
1323 BinStream[bitnum] = BinStream[bitnum-1];
1324 bitnum++;
1325 } else { //in tolerance - looking for peak
1326 continue;
4d3c1796 1327 }
d5051b98 1328 midBit = 1;
04d2721b 1329 }
d5051b98 1330 if (bitnum >= MaxBits) break;
04d2721b 1331 }
d5051b98 1332 *size = bitnum;
1333 return errCnt;
1334}
1335
1336int askdemod(uint8_t *BinStream, size_t *size, int *clk, int *invert, int maxErr, uint8_t amp, uint8_t askType) {
1337 int start = 0;
1338 return askdemod_ext(BinStream, size, clk, invert, maxErr, amp, askType, &start);
1339}
1340
1341// by marshmellow - demodulate NRZ wave - requires a read with strong signal
1342// peaks invert bit (high=1 low=0) each clock cycle = 1 bit determined by last peak
1343int nrzRawDemod_ext(uint8_t *dest, size_t *size, int *clk, int *invert, int *startIdx) {
1344 if (justNoise(dest, *size)) return -1;
1345 *clk = DetectNRZClock(dest, *size, *clk);
1346 if (*clk==0) return -2;
1347 size_t i, gLen = 4096;
1348 if (gLen>*size) gLen = *size-20;
1349 int high, low;
1350 if (getHiLo(dest, gLen, &high, &low, 75, 75) < 1) return -3; //25% fuzz on high 25% fuzz on low
4d3c1796 1351
d5051b98 1352 uint8_t bit=0;
1353 //convert wave samples to 1's and 0's
1354 for(i=20; i < *size-20; i++){
1355 if (dest[i] >= high) bit = 1;
1356 if (dest[i] <= low) bit = 0;
1357 dest[i] = bit;
4d3c1796 1358 }
d5051b98 1359 //now demod based on clock (rf/32 = 32 1's for one 1 bit, 32 0's for one 0 bit)
1360 size_t lastBit = 0;
1361 size_t numBits = 0;
1362 for(i=21; i < *size-20; i++) {
1363 //if transition detected or large number of same bits - store the passed bits
1364 if (dest[i] != dest[i-1] || (i-lastBit) == (10 * *clk)) {
1365 memset(dest+numBits, dest[i-1] ^ *invert, (i - lastBit + (*clk/4)) / *clk);
1366 numBits += (i - lastBit + (*clk/4)) / *clk;
1367 if (lastBit == 0) {
1368 *startIdx = i - (numBits * *clk);
1369 if (g_debugMode==2) prnt("DEBUG NRZ: startIdx %i", *startIdx);
1370 }
1371 lastBit = i-1;
1372 }
4d3c1796 1373 }
d5051b98 1374 *size = numBits;
1375 return 0;
1376}
1377int nrzRawDemod(uint8_t *dest, size_t *size, int *clk, int *invert) {
1378 int startIdx = 0;
1379 return nrzRawDemod_ext(dest, size, clk, invert, &startIdx);
3bc66a96 1380}
1381
d5051b98 1382//translate wave to 11111100000 (1 for each short wave [higher freq] 0 for each long wave [lower freq])
1383size_t fsk_wave_demod(uint8_t * dest, size_t size, uint8_t fchigh, uint8_t fclow, int *startIdx) {
1384 size_t last_transition = 0;
1385 size_t idx = 1;
1386 if (fchigh==0) fchigh=10;
1387 if (fclow==0) fclow=8;
1388 //set the threshold close to 0 (graph) or 128 std to avoid static
1389 uint8_t threshold_value = 123;
1390 size_t preLastSample = 0;
1391 size_t LastSample = 0;
1392 size_t currSample = 0;
1393 if ( size < 1024 ) return 0; // not enough samples
ba1a299c 1394
d5051b98 1395 //find start of modulating data in trace
1396 idx = findModStart(dest, size, threshold_value, fchigh);
1397 // Need to threshold first sample
1398 if(dest[idx] < threshold_value) dest[0] = 0;
1399 else dest[0] = 1;
1400
1401 last_transition = idx;
1402 idx++;
1403 size_t numBits = 0;
1404 // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
1405 // or 10 (fc/10) cycles but in practice due to noise etc we may end up with anywhere
1406 // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
1407 // (could also be fc/5 && fc/7 for fsk1 = 4-9)
1408 for(; idx < size; idx++) {
1409 // threshold current value
1410 if (dest[idx] < threshold_value) dest[idx] = 0;
1411 else dest[idx] = 1;
4d3c1796 1412
d5051b98 1413 // Check for 0->1 transition
1414 if (dest[idx-1] < dest[idx]) {
1415 preLastSample = LastSample;
1416 LastSample = currSample;
1417 currSample = idx-last_transition;
1418 if (currSample < (fclow-2)) { //0-5 = garbage noise (or 0-3)
1419 //do nothing with extra garbage
1420 } else if (currSample < (fchigh-1)) { //6-8 = 8 sample waves (or 3-6 = 5)
1421 //correct previous 9 wave surrounded by 8 waves (or 6 surrounded by 5)
1422 if (numBits > 1 && LastSample > (fchigh-2) && (preLastSample < (fchigh-1))){
1423 dest[numBits-1]=1;
1424 }
1425 dest[numBits++]=1;
1426 if (numBits > 0 && *startIdx==0) *startIdx = idx - fclow;
1427 } else if (currSample > (fchigh+1) && numBits < 3) { //12 + and first two bit = unusable garbage
1428 //do nothing with beginning garbage and reset.. should be rare..
1429 numBits = 0;
1430 } else if (currSample == (fclow+1) && LastSample == (fclow-1)) { // had a 7 then a 9 should be two 8's (or 4 then a 6 should be two 5's)
1431 dest[numBits++]=1;
1432 if (numBits > 0 && *startIdx==0) *startIdx = idx - fclow;
1433 } else { //9+ = 10 sample waves (or 6+ = 7)
1434 dest[numBits++]=0;
1435 if (numBits > 0 && *startIdx==0) *startIdx = idx - fchigh;
4d3c1796 1436 }
d5051b98 1437 last_transition = idx;
4d3c1796 1438 }
e0165dcf 1439 }
d5051b98 1440 return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
1441}
4d3c1796 1442
d5051b98 1443//translate 11111100000 to 10
1444//rfLen = clock, fchigh = larger field clock, fclow = smaller field clock
1445size_t aggregate_bits(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow, int *startIdx) {
1446 uint8_t lastval=dest[0];
1447 size_t idx=0;
1448 size_t numBits=0;
1449 uint32_t n=1;
1450 for( idx=1; idx < size; idx++) {
1451 n++;
1452 if (dest[idx]==lastval) continue; //skip until we hit a transition
1453
1454 //find out how many bits (n) we collected (use 1/2 clk tolerance)
1455 //if lastval was 1, we have a 1->0 crossing
1456 if (dest[idx-1]==1) {
1457 n = (n * fclow + rfLen/2) / rfLen;
1458 } else {// 0->1 crossing
1459 n = (n * fchigh + rfLen/2) / rfLen;
e0165dcf 1460 }
d5051b98 1461 if (n == 0) n = 1;
1462
1463 //first transition - save startidx
1464 if (numBits == 0) {
1465 if (lastval == 1) { //high to low
1466 *startIdx += (fclow * idx) - (n*rfLen);
1467 if (g_debugMode==2) prnt("DEBUG FSK: startIdx %i, fclow*idx %i, n*rflen %u", *startIdx, fclow*(idx), n*rfLen);
1468 } else {
1469 *startIdx += (fchigh * idx) - (n*rfLen);
1470 if (g_debugMode==2) prnt("DEBUG FSK: startIdx %i, fchigh*idx %i, n*rflen %u", *startIdx, fchigh*(idx), n*rfLen);
1471 }
4d3c1796 1472 }
d5051b98 1473
1474 //add to our destination the bits we collected
1475 memset(dest+numBits, dest[idx-1]^invert , n);
1476 numBits += n;
1477 n=0;
1478 lastval=dest[idx];
1479 }//end for
1480 // if valid extra bits at the end were all the same frequency - add them in
1481 if (n > rfLen/fchigh) {
1482 if (dest[idx-2]==1) {
1483 n = (n * fclow + rfLen/2) / rfLen;
1484 } else {
1485 n = (n * fchigh + rfLen/2) / rfLen;
4d3c1796 1486 }
d5051b98 1487 memset(dest+numBits, dest[idx-1]^invert , n);
1488 numBits += n;
e0165dcf 1489 }
d5051b98 1490 return numBits;
ba1a299c 1491}
4d3c1796 1492
d5051b98 1493//by marshmellow (from holiman's base)
1494// full fsk demod from GraphBuffer wave to decoded 1s and 0s (no mandemod)
1495int fskdemod_ext(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow, int *startIdx) {
1496 // FSK demodulator
1497 size = fsk_wave_demod(dest, size, fchigh, fclow, startIdx);
1498 size = aggregate_bits(dest, size, rfLen, invert, fchigh, fclow, startIdx);
1499 return size;
8b6abef5 1500}
1501
d5051b98 1502int fskdemod(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow) {
1503 int startIdx=0;
1504 return fskdemod_ext(dest, size, rfLen, invert, fchigh, fclow, &startIdx);
1505}
e0165dcf 1506
d5051b98 1507// by marshmellow
1508// convert psk1 demod to psk2 demod
1509// only transition waves are 1s
1510void psk1TOpsk2(uint8_t *BitStream, size_t size) {
1511 size_t i=1;
1512 uint8_t lastBit=BitStream[0];
1513 for (; i<size; i++){
1514 if (BitStream[i]==7){
1515 //ignore errors
1516 } else if (lastBit!=BitStream[i]){
1517 lastBit=BitStream[i];
1518 BitStream[i]=1;
1519 } else {
1520 BitStream[i]=0;
1521 }
1522 }
1523 return;
1524}
e0165dcf 1525
d5051b98 1526// by marshmellow
1527// convert psk2 demod to psk1 demod
1528// from only transition waves are 1s to phase shifts change bit
1529void psk2TOpsk1(uint8_t *BitStream, size_t size) {
1530 uint8_t phase=0;
1531 for (size_t i=0; i<size; i++){
1532 if (BitStream[i]==1){
1533 phase ^=1;
1534 }
1535 BitStream[i]=phase;
1536 }
1537 return;
1538}
2eec55c8 1539
d5051b98 1540//by marshmellow - demodulate PSK1 wave
1541//uses wave lengths (# Samples)
1542int pskRawDemod_ext(uint8_t dest[], size_t *size, int *clock, int *invert, int *startIdx) {
1543 if (size == 0) return -1;
1544 uint16_t loopCnt = 4096; //don't need to loop through entire array...
1545 if (*size<loopCnt) loopCnt = *size;
2eec55c8 1546
d5051b98 1547 size_t numBits=0;
1548 uint8_t curPhase = *invert;
1549 size_t i=0, waveStart=1, waveEnd=0, firstFullWave=0, lastClkBit=0;
1550 uint16_t fc=0, fullWaveLen=0, tol=1;
1551 uint16_t errCnt=0, waveLenCnt=0, errCnt2=0;
1552 fc = countFC(dest, *size, 1);
1553 uint8_t fc2 = fc >> 8;
1554 if (fc2 == 10) return -1; //fsk found - quit
1555 fc = fc & 0xFF;
1556 if (fc!=2 && fc!=4 && fc!=8) return -1;
1557 //PrintAndLog("DEBUG: FC: %d",fc);
1558 *clock = DetectPSKClock(dest, *size, *clock);
1559 if (*clock == 0) return -1;
1560
1561 //find start of modulating data in trace
1562 uint8_t threshold_value = 123; //-5
1563 i = findModStart(dest, *size, threshold_value, fc);
1564
1565 //find first phase shift
1566 int avgWaveVal=0, lastAvgWaveVal=0;
1567 waveStart = i;
1568 for (; i<loopCnt; i++) {
1569 // find peak
1570 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1571 waveEnd = i+1;
1572 if (g_debugMode == 2) prnt("DEBUG PSK: waveEnd: %u, waveStart: %u",waveEnd, waveStart);
1573 waveLenCnt = waveEnd-waveStart;
1574 if (waveLenCnt > fc && waveStart > fc && !(waveLenCnt > fc+3)){ //not first peak and is a large wave but not out of whack
1575 lastAvgWaveVal = avgWaveVal/(waveLenCnt);
1576 firstFullWave = waveStart;
1577 fullWaveLen=waveLenCnt;
1578 //if average wave value is > graph 0 then it is an up wave or a 1 (could cause inverting)
1579 if (lastAvgWaveVal > threshold_value) curPhase ^= 1;
1580 break;
e0165dcf 1581 }
e0165dcf 1582
d5051b98 1583 waveStart = i+1;
1584 avgWaveVal = 0;
e0165dcf 1585 }
d5051b98 1586 avgWaveVal += dest[i+2];
1587 }
1588 if (firstFullWave == 0) {
1589 // no phase shift detected - could be all 1's or 0's - doesn't matter where we start
1590 // so skip a little to ensure we are past any Start Signal
1591 firstFullWave = 160;
1592 memset(dest, curPhase, firstFullWave / *clock);
1593 } else {
1594 memset(dest, curPhase^1, firstFullWave / *clock);
1595 }
1596 //advance bits
1597 numBits += (firstFullWave / *clock);
1598 *startIdx = firstFullWave - (*clock * numBits)+2;
1599 //set start of wave as clock align
1600 lastClkBit = firstFullWave;
1601 if (g_debugMode==2) prnt("DEBUG PSK: firstFullWave: %u, waveLen: %u, startIdx %i",firstFullWave,fullWaveLen, *startIdx);
1602 if (g_debugMode==2) prnt("DEBUG PSK: clk: %d, lastClkBit: %u, fc: %u", *clock, lastClkBit,(unsigned int) fc);
1603 waveStart = 0;
1604 dest[numBits++] = curPhase; //set first read bit
1605 for (i = firstFullWave + fullWaveLen - 1; i < *size-3; i++){
1606 //top edge of wave = start of new wave
1607 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1608 if (waveStart == 0) {
1609 waveStart = i+1;
1610 waveLenCnt = 0;
1611 avgWaveVal = dest[i+1];
1612 } else { //waveEnd
1613 waveEnd = i+1;
1614 waveLenCnt = waveEnd-waveStart;
1615 lastAvgWaveVal = avgWaveVal/waveLenCnt;
1616 if (waveLenCnt > fc){
1617 //PrintAndLog("DEBUG: avgWaveVal: %d, waveSum: %d",lastAvgWaveVal,avgWaveVal);
1618 //this wave is a phase shift
1619 //PrintAndLog("DEBUG: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+*clock-tol,i+1,fc);
1620 if (i+1 >= lastClkBit + *clock - tol){ //should be a clock bit
1621 curPhase ^= 1;
1622 dest[numBits++] = curPhase;
1623 lastClkBit += *clock;
1624 } else if (i < lastClkBit+10+fc){
1625 //noise after a phase shift - ignore
1626 } else { //phase shift before supposed to based on clock
1627 errCnt++;
1628 dest[numBits++] = 7;
1629 }
1630 } else if (i+1 > lastClkBit + *clock + tol + fc){
1631 lastClkBit += *clock; //no phase shift but clock bit
1632 dest[numBits++] = curPhase;
1633 } else if (waveLenCnt < fc - 1) { //wave is smaller than field clock (shouldn't happen often)
1634 errCnt2++;
1635 if(errCnt2 > 101) return errCnt2;
e0165dcf 1636 }
d5051b98 1637 avgWaveVal = 0;
1638 waveStart = i+1;
e0165dcf 1639 }
1640 }
d5051b98 1641 avgWaveVal += dest[i+1];
e0165dcf 1642 }
d5051b98 1643 *size = numBits;
1644 return errCnt;
03e6bb4a 1645}
1e090a61 1646
d5051b98 1647int pskRawDemod(uint8_t dest[], size_t *size, int *clock, int *invert) {
1648 int startIdx = 0;
1649 return pskRawDemod_ext(dest, size, clock, invert, &startIdx);
669959bc 1650}
1651
d5051b98 1652//**********************************************************************************************
1653//-----------------Tag format detection section-------------------------------------------------
1654//**********************************************************************************************
4d3c1796 1655
1656// by marshmellow
1657// FSK Demod then try to locate an AWID ID
1658int AWIDdemodFSK(uint8_t *dest, size_t *size) {
1659 //make sure buffer has enough data
1660 if (*size < 96*50) return -1;
1661
1662 if (justNoise(dest, *size)) return -2;
1663
1664 // FSK demodulator
1665 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
1666 if (*size < 96) return -3; //did we get a good demod?
1667
1668 uint8_t preamble[] = {0,0,0,0,0,0,0,1};
1669 size_t startIdx = 0;
1670 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1671 if (errChk == 0) return -4; //preamble not found
1672 if (*size != 96) return -5;
1673 return (int)startIdx;
1674}
1675
03e6bb4a 1676//by marshmellow
4d3c1796 1677//takes 1s and 0s and searches for EM410x format - output EM ID
1678uint8_t Em410xDecode(uint8_t *BitStream, size_t *size, size_t *startIdx, uint32_t *hi, uint64_t *lo)
03e6bb4a 1679{
4d3c1796 1680 //sanity checks
1681 if (*size < 64) return 0;
1682 if (BitStream[1]>1) return 0; //allow only 1s and 0s
e0165dcf 1683
4d3c1796 1684 // 111111111 bit pattern represent start of frame
1685 // include 0 in front to help get start pos
1686 uint8_t preamble[] = {0,1,1,1,1,1,1,1,1,1};
1687 uint8_t errChk = 0;
1688 uint8_t FmtLen = 10; // sets of 4 bits = end data
1689 *startIdx = 0;
1690 errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, startIdx);
1691 if ( errChk == 0 || (*size != 64 && *size != 128) ) return 0;
1692 if (*size == 128) FmtLen = 22; // 22 sets of 4 bits
e0165dcf 1693
4d3c1796 1694 //skip last 4bit parity row for simplicity
1695 *size = removeParity(BitStream, *startIdx + sizeof(preamble), 5, 0, FmtLen * 5);
1696 if (*size == 40) { // std em410x format
1697 *hi = 0;
1698 *lo = ((uint64_t)(bytebits_to_byte(BitStream, 8)) << 32) | (bytebits_to_byte(BitStream + 8, 32));
1699 } else if (*size == 88) { // long em format
1700 *hi = (bytebits_to_byte(BitStream, 24));
1701 *lo = ((uint64_t)(bytebits_to_byte(BitStream + 24, 32)) << 32) | (bytebits_to_byte(BitStream + 24 + 32, 32));
1702 } else {
1703 return 0;
709665b5 1704 }
4d3c1796 1705 return 1;
6de43508 1706}
1707
4d3c1796 1708// Ask/Biphase Demod then try to locate an ISO 11784/85 ID
1709// BitStream must contain previously askrawdemod and biphasedemoded data
1710int FDXBdemodBI(uint8_t *dest, size_t *size) {
1711 //make sure buffer has enough data
1712 if (*size < 128) return -1;
e0165dcf 1713
4d3c1796 1714 size_t startIdx = 0;
1715 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,1};
6980d66b 1716
4d3c1796 1717 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1718 if (errChk == 0) return -2; //preamble not found
1719 return (int)startIdx;
1720}
6980d66b 1721
4d3c1796 1722// by marshmellow
1723// demod gProxIIDemod
1724// error returns as -x
1725// success returns start position in BitStream
1726// BitStream must contain previously askrawdemod and biphasedemoded data
1727int gProxII_Demod(uint8_t BitStream[], size_t *size) {
1728 size_t startIdx=0;
1729 uint8_t preamble[] = {1,1,1,1,1,0};
669959bc 1730
4d3c1796 1731 uint8_t errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, &startIdx);
1732 if (errChk == 0) return -3; //preamble not found
1733 if (*size != 96) return -2; //should have found 96 bits
1734 //check first 6 spacer bits to verify format
1735 if (!BitStream[startIdx+5] && !BitStream[startIdx+10] && !BitStream[startIdx+15] && !BitStream[startIdx+20] && !BitStream[startIdx+25] && !BitStream[startIdx+30]){
1736 //confirmed proper separator bits found
1737 //return start position
1738 return (int) startIdx;
e0165dcf 1739 }
4d3c1796 1740 return -5; //spacer bits not found - not a valid gproxII
ab812dfa 1741}
1742
4d3c1796 1743// loop to get raw HID waveform then FSK demodulate the TAG ID from it
1744int HIDdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo) {
1745 if (justNoise(dest, *size)) return -1;
d1869c33 1746
4d3c1796 1747 size_t numStart=0, size2=*size, startIdx=0;
1748 // FSK demodulator
1749 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
1750 if (*size < 96*2) return -2;
1751 // 00011101 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
1752 uint8_t preamble[] = {0,0,0,1,1,1,0,1};
1753 // find bitstring in array
1754 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1755 if (errChk == 0) return -3; //preamble not found
d1869c33 1756
4d3c1796 1757 numStart = startIdx + sizeof(preamble);
1758 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
1759 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
1760 if (dest[idx] == dest[idx+1]){
1761 return -4; //not manchester data
d1869c33 1762 }
4d3c1796 1763 *hi2 = (*hi2<<1)|(*hi>>31);
1764 *hi = (*hi<<1)|(*lo>>31);
1765 //Then, shift in a 0 or one into low
1766 if (dest[idx] && !dest[idx+1]) // 1 0
1767 *lo=(*lo<<1)|1;
1768 else // 0 1
1769 *lo=(*lo<<1)|0;
d1869c33 1770 }
4d3c1796 1771 return (int)startIdx;
1772}
d1869c33 1773
4d3c1796 1774int IOdemodFSK(uint8_t *dest, size_t size) {
1775 if (justNoise(dest, size)) return -1;
1776 //make sure buffer has data
1777 if (size < 66*64) return -2;
1778 // FSK demodulator
1779 size = fskdemod(dest, size, 64, 1, 10, 8); // FSK2a RF/64
1780 if (size < 65) return -3; //did we get a good demod?
1781 //Index map
1782 //0 10 20 30 40 50 60
1783 //| | | | | | |
1784 //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
1785 //-----------------------------------------------------------------------------
1786 //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
1787 //
1788 //XSF(version)facility:codeone+codetwo
1789 //Handle the data
1790 size_t startIdx = 0;
1791 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,1};
1792 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), &size, &startIdx);
1793 if (errChk == 0) return -4; //preamble not found
d1869c33 1794
4d3c1796 1795 if (!dest[startIdx+8] && dest[startIdx+17]==1 && dest[startIdx+26]==1 && dest[startIdx+35]==1 && dest[startIdx+44]==1 && dest[startIdx+53]==1){
1796 //confirmed proper separator bits found
1797 //return start position
1798 return (int) startIdx;
d1869c33 1799 }
4d3c1796 1800 return -5;
1801}
d1869c33 1802
4d3c1796 1803// redesigned by marshmellow adjusted from existing decode functions
1804// indala id decoding - only tested on 26 bit tags, but attempted to make it work for more
1805int indala26decode(uint8_t *bitStream, size_t *size, uint8_t *invert) {
1806 //26 bit 40134 format (don't know other formats)
1807 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
1808 uint8_t preamble_i[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
1809 size_t startidx = 0;
1810 if (!preambleSearch(bitStream, preamble, sizeof(preamble), size, &startidx)){
1811 // if didn't find preamble try again inverting
1812 if (!preambleSearch(bitStream, preamble_i, sizeof(preamble_i), size, &startidx)) return -1;
1813 *invert ^= 1;
1814 }
1815 if (*size != 64 && *size != 224) return -2;
1816 if (*invert==1)
1817 for (size_t i = startidx; i < *size; i++)
1818 bitStream[i] ^= 1;
1819
1820 return (int) startidx;
1821}
1822
1823// loop to get raw paradox waveform then FSK demodulate the TAG ID from it
1824int ParadoxdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo) {
1825 if (justNoise(dest, *size)) return -1;
01d0f8ae 1826
4d3c1796 1827 size_t numStart=0, size2=*size, startIdx=0;
1828 // FSK demodulator
1829 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
1830 if (*size < 96) return -2;
d1869c33 1831
4d3c1796 1832 // 00001111 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
1833 uint8_t preamble[] = {0,0,0,0,1,1,1,1};
1834
1835 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1836 if (errChk == 0) return -3; //preamble not found
1837
1838 numStart = startIdx + sizeof(preamble);
1839 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
1840 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
1841 if (dest[idx] == dest[idx+1])
1842 return -4; //not manchester data
1843 *hi2 = (*hi2<<1)|(*hi>>31);
1844 *hi = (*hi<<1)|(*lo>>31);
1845 //Then, shift in a 0 or one into low
1846 if (dest[idx] && !dest[idx+1]) // 1 0
1847 *lo=(*lo<<1)|1;
1848 else // 0 1
1849 *lo=(*lo<<1)|0;
d1869c33 1850 }
4d3c1796 1851 return (int)startIdx;
d1869c33 1852}
8b6abef5 1853
4d3c1796 1854// find presco preamble 0x10D in already demoded data
1855int PrescoDemod(uint8_t *dest, size_t *size) {
1856 //make sure buffer has data
1857 if (*size < 64*2) return -2;
1858
1859 size_t startIdx = 0;
1860 uint8_t preamble[] = {1,0,0,0,0,1,1,0,1,0,0,0,0,0,0,0,0,0,0,0};
1861 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1862 if (errChk == 0) return -4; //preamble not found
1863 //return start position
1864 return (int) startIdx;
669959bc 1865}
1866
4d3c1796 1867// by marshmellow
1868// FSK Demod then try to locate a Farpointe Data (pyramid) ID
1869int PyramiddemodFSK(uint8_t *dest, size_t *size) {
1870 //make sure buffer has data
1871 if (*size < 128*50) return -5;
1872
1873 //test samples are not just noise
1874 if (justNoise(dest, *size)) return -1;
1875
1876 // FSK demodulator
1877 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
1878 if (*size < 128) return -2; //did we get a good demod?
1879
1880 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
1881 size_t startIdx = 0;
1882 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1883 if (errChk == 0) return -4; //preamble not found
1884 if (*size != 128) return -3;
1885 return (int)startIdx;
1886}
1887
1888// by marshmellow
1889// find viking preamble 0xF200 in already demoded data
1890int VikingDemod_AM(uint8_t *dest, size_t *size) {
1891 //make sure buffer has data
1892 if (*size < 64*2) return -2;
1893
1894 size_t startIdx = 0;
1895 uint8_t preamble[] = {1,1,1,1,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1896 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
1897 if (errChk == 0) return -4; //preamble not found
1898 uint32_t checkCalc = bytebits_to_byte(dest+startIdx,8) ^ bytebits_to_byte(dest+startIdx+8,8) ^ bytebits_to_byte(dest+startIdx+16,8)
1899 ^ bytebits_to_byte(dest+startIdx+24,8) ^ bytebits_to_byte(dest+startIdx+32,8) ^ bytebits_to_byte(dest+startIdx+40,8)
1900 ^ bytebits_to_byte(dest+startIdx+48,8) ^ bytebits_to_byte(dest+startIdx+56,8);
1901 if ( checkCalc != 0xA8 ) return -5;
1902 if (*size != 64) return -6;
1903 //return start position
1904 return (int) startIdx;
1905}
1906
8b6abef5 1907// by iceman
1908// find Visa2000 preamble in already demoded data
1909int Visa2kDemod_AM(uint8_t *dest, size_t *size) {
1910 if (*size < 96) return -1; //make sure buffer has data
1911 size_t startIdx = 0;
1912 uint8_t preamble[] = {0,1,0,1,0,1,1,0,0,1,0,0,1,0,0,1,0,1,0,1,0,0,1,1,0,0,1,1,0,0,1,0};
1913 if (preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx) == 0)
1914 return -2; //preamble not found
1915 if (*size != 96) return -3; //wrong demoded size
1916 //return start position
1917 return (int)startIdx;
1918}
Impressum, Datenschutz