]> git.zerfleddert.de Git - proxmark3-svn/blobdiff - fpga/testbed_lo_read.v
- Added new Makefile.linux in bootrom directory
[proxmark3-svn] / fpga / testbed_lo_read.v
index f7712832f13a0bce63ebcfb3f1540d7fa2a6e8bf..cb0f119c937684e810101c14e2b203b1cf593446 100644 (file)
@@ -1,4 +1,3 @@
-`include "lo_read_org.v"\r
 `include "lo_read.v"\r
 /*\r
        pck0                    - input main 24Mhz clock (PLL / 4)\r
@@ -38,7 +37,7 @@ module testbed_lo_read;
        wire ssp_frame;\r
        wire ssp_din;\r
        wire ssp_clk;\r
-       wire ssp_dout;\r
+       reg ssp_dout;\r
        wire pwr_hi;\r
        wire pwr_oe1;\r
        wire pwr_oe2;\r
@@ -48,47 +47,25 @@ module testbed_lo_read;
        wire cross_hi;\r
        wire dbg;\r
 \r
-       lo_read_org #(5,10) dut1(\r
+       lo_read #(5,10) dut(\r
        .pck0(pck0),\r
-       .ck_1356meg(ack_1356meg),\r
-       .ck_1356megb(ack_1356megb),\r
-       .pwr_lo(apwr_lo),\r
-       .pwr_hi(apwr_hi),\r
-       .pwr_oe1(apwr_oe1),\r
-       .pwr_oe2(apwr_oe2),\r
-       .pwr_oe3(apwr_oe3),\r
-       .pwr_oe4(apwr_oe4),\r
+       .ck_1356meg(ck_1356meg),\r
+       .ck_1356megb(ck_1356megb),\r
+       .pwr_lo(pwr_lo),\r
+       .pwr_hi(pwr_hi),\r
+       .pwr_oe1(pwr_oe1),\r
+       .pwr_oe2(pwr_oe2),\r
+       .pwr_oe3(pwr_oe3),\r
+       .pwr_oe4(pwr_oe4),\r
        .adc_d(adc_d),\r
        .adc_clk(adc_clk),\r
-       .ssp_frame(assp_frame),\r
-       .ssp_din(assp_din),\r
-       .ssp_dout(assp_dout),\r
-       .ssp_clk(assp_clk),\r
-       .cross_hi(across_hi),\r
-       .cross_lo(across_lo),\r
-       .dbg(adbg),\r
-       .lo_is_125khz(lo_is_125khz)\r
-       );\r
-\r
-       lo_read #(5,10) dut2(\r
-       .pck0(pck0),\r
-       .ck_1356meg(bck_1356meg),\r
-       .ck_1356megb(bck_1356megb),\r
-       .pwr_lo(bpwr_lo),\r
-       .pwr_hi(bpwr_hi),\r
-       .pwr_oe1(bpwr_oe1),\r
-       .pwr_oe2(bpwr_oe2),\r
-       .pwr_oe3(bpwr_oe3),\r
-       .pwr_oe4(bpwr_oe4),\r
-       .adc_d(adc_d),\r
-       .adc_clk(badc_clk),\r
-       .ssp_frame(bssp_frame),\r
-       .ssp_din(bssp_din),\r
-       .ssp_dout(bssp_dout),\r
-       .ssp_clk(bssp_clk),\r
-       .cross_hi(bcross_hi),\r
-       .cross_lo(bcross_lo),\r
-       .dbg(bdbg),\r
+       .ssp_frame(ssp_frame),\r
+       .ssp_din(ssp_din),\r
+       .ssp_dout(ssp_dout),\r
+       .ssp_clk(ssp_clk),\r
+       .cross_hi(cross_hi),\r
+       .cross_lo(cross_lo),\r
+       .dbg(dbg),\r
        .lo_is_125khz(lo_is_125khz),\r
        .divisor(divisor)\r
        );\r
@@ -111,8 +88,9 @@ module testbed_lo_read;
                // init inputs\r
                pck0 = 0;\r
                adc_d = 0;\r
+               ssp_dout = 0;\r
                lo_is_125khz = 1;\r
-               divisor=255;  //min 19, 95=125Khz, max 255\r
+               divisor = 255;  //min 16, 95=125Khz, max 255\r
 \r
                // simulate 4 A/D cycles at 125Khz\r
                for (i = 0 ;  i < 8 ;  i = i + 1) begin\r
Impressum, Datenschutz