]> git.zerfleddert.de Git - proxmark3-svn/blobdiff - armsrc/lfops.c
ADD: marshmellows new lf command and DetectClock. (works great!)
[proxmark3-svn] / armsrc / lfops.c
index 3b7216ed35d189eac699296aba56ee33f90d2347..5e01e9b0de21778da8245d93d752b32c0875c5ef 100644 (file)
@@ -8,44 +8,65 @@
 // Also routines for raw mode reading/simulating of LF waveform
 //-----------------------------------------------------------------------------
 
-#include "proxmark3.h"
+#include "../include/proxmark3.h"
 #include "apps.h"
 #include "util.h"
-#include "hitag2.h"
-#include "crc16.h"
+#include "../include/hitag2.h"
+#include "../common/crc16.h"
 #include "string.h"
+#include "crapto1.h"
+#include "mifareutil.h"
 
-void AcquireRawAdcSamples125k(int at134khz)
+// Sam7s has several timers, we will use the source TIMER_CLOCK1 (aka AT91C_TC_CLKS_TIMER_DIV1_CLOCK)
+// TIMER_CLOCK1 = MCK/2, MCK is running at 48 MHz, Timer is running at 48/2 = 24 MHz
+// Hitag units (T0) have duration of 8 microseconds (us), which is 1/125000 per second (carrier)
+// T0 = TIMER_CLOCK1 / 125000 = 192
+#define T0 192
+
+#define SHORT_COIL()   LOW(GPIO_SSC_DOUT)
+#define OPEN_COIL()            HIGH(GPIO_SSC_DOUT)
+
+void LFSetupFPGAForADC(int divisor, bool lf_field)
 {
-       if (at134khz)
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
+       if ( (divisor == 1) || (divisor < 0) || (divisor > 255) )
                FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz
-       else
+       else if (divisor == 0)
                FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+       else
+               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, divisor);
 
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | (lf_field ? FPGA_LF_ADC_READER_FIELD : 0));
 
        // Connect the A/D to the peak-detected low-frequency path.
        SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
-
+       
        // Give it a bit of time for the resonant antenna to settle.
-       SpinDelay(50);
-
+       SpinDelay(150);
+       
        // Now set up the SSC to get the ADC samples that are now streaming at us.
        FpgaSetupSsc();
+}
 
-       // Now call the acquisition routine
+void AcquireRawAdcSamples125k(int divisor)
+{
+       LFSetupFPGAForADC(divisor, true);
        DoAcquisition125k();
 }
 
+void SnoopLFRawAdcSamples(int divisor, int trigger_threshold)
+{
+       LFSetupFPGAForADC(divisor, false);
+       DoAcquisition125k_threshold(trigger_threshold);
+}
+
 // split into two routines so we can avoid timing issues after sending commands //
-void DoAcquisition125k(void)
+void DoAcquisition125k_internal(int trigger_threshold, bool silent)
 {
-       uint8_t *dest = (uint8_t *)BigBuf;
-       int n = sizeof(BigBuf);
-       int i;
+       uint8_t *dest = get_bigbufptr_recvrespbuf();
+       uint16_t i = 0;
+       memset(dest, 0x00, FREE_BUFFER_SIZE);
 
-       memset(dest, 0, n);
-       i = 0;
        for(;;) {
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
                        AT91C_BASE_SSC->SSC_THR = 0x43;
@@ -53,39 +74,42 @@ void DoAcquisition125k(void)
                }
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_RXRDY) {
                        dest[i] = (uint8_t)AT91C_BASE_SSC->SSC_RHR;
-                       i++;
                        LED_D_OFF();
-                       if (i >= n) break;
+                       if (trigger_threshold != -1 && dest[i] < trigger_threshold)
+                               continue;
+                       else
+                               trigger_threshold = -1;
+                       if (++i >= FREE_BUFFER_SIZE) break;
                }
        }
-       Dbprintf("buffer samples: %02x %02x %02x %02x %02x %02x %02x %02x ...",
+       if (!silent){
+               Dbprintf("buffer samples: %02x %02x %02x %02x %02x %02x %02x %02x ...",
                        dest[0], dest[1], dest[2], dest[3], dest[4], dest[5], dest[6], dest[7]);
+       }
 }
-
+void DoAcquisition125k_threshold(int trigger_threshold) {
+        DoAcquisition125k_internal(trigger_threshold, true);
+}
+void DoAcquisition125k() {
+        DoAcquisition125k_internal(-1, true);
+}      
+       
 void ModThenAcquireRawAdcSamples125k(int delay_off, int period_0, int period_1, uint8_t *command)
 {
-       int at134khz;
-
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
+       
        /* Make sure the tag is reset */
        FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
        SpinDelay(2500);
 
+       int divisor = 95; // 125 KHz
        // see if 'h' was specified
        if (command[strlen((char *) command) - 1] == 'h')
-               at134khz = TRUE;
-       else
-               at134khz = FALSE;
-
-       if (at134khz)
-               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz
-       else
-               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+               divisor = 88; // 134.8 KHz
 
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, divisor); 
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
        // Give it a bit of time for the resonant antenna to settle.
-       SpinDelay(50);
-       // And a little more time for the tag to fully power up
        SpinDelay(2000);
 
        // Now set up the SSC to get the ADC samples that are now streaming at us.
@@ -96,12 +120,9 @@ void ModThenAcquireRawAdcSamples125k(int delay_off, int period_0, int period_1,
                FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
                LED_D_OFF();
                SpinDelayUs(delay_off);
-               if (at134khz)
-                       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz
-               else
-                       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, divisor); 
 
-               FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+               FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
                LED_D_ON();
                if(*(command++) == '0')
                        SpinDelayUs(period_0);
@@ -111,15 +132,11 @@ void ModThenAcquireRawAdcSamples125k(int delay_off, int period_0, int period_1,
        FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
        LED_D_OFF();
        SpinDelayUs(delay_off);
-       if (at134khz)
-               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz
-       else
-               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, divisor); 
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
 
        // now do the read
-       DoAcquisition125k();
+       DoAcquisition125k(-1);
 }
 
 /* blank r/w tag data stream
@@ -156,6 +173,7 @@ void ReadTItag(void)
        uint32_t threshold = (sampleslo - sampleshi + 1)>>1;
 
        // TI tags charge at 134.2Khz
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
        FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz
 
        // Place FPGA in passthrough mode, in this mode the CROSS_LO line
@@ -272,17 +290,17 @@ void WriteTIbyte(uint8_t b)
        {
                if (b&(1<<i)) {
                        // stop modulating antenna
-                       LOW(GPIO_SSC_DOUT);
+                       SHORT_COIL();
                        SpinDelayUs(1000);
                        // modulate antenna
-                       HIGH(GPIO_SSC_DOUT);
+                       OPEN_COIL();
                        SpinDelayUs(1000);
                } else {
                        // stop modulating antenna
-                       LOW(GPIO_SSC_DOUT);
+                       SHORT_COIL();
                        SpinDelayUs(300);
                        // modulate antenna
-                       HIGH(GPIO_SSC_DOUT);
+                       OPEN_COIL();
                        SpinDelayUs(1700);
                }
        }
@@ -363,6 +381,7 @@ void AcquireTiType(void)
 // if not provided a valid crc will be computed from the data and written.
 void WriteTItag(uint32_t idhi, uint32_t idlo, uint16_t crc)
 {
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);   
        if(crc == 0) {
                crc = update_crc16(crc, (idlo)&0xff);
                crc = update_crc16(crc, (idlo>>8)&0xff);
@@ -429,59 +448,168 @@ void WriteTItag(uint32_t idhi, uint32_t idlo, uint16_t crc)
        DbpString("Now use tiread to check");
 }
 
-void SimulateTagLowFrequency(int period, int gap, int ledcontrol)
+
+        
+// PIO_CODR = Clear Output Data Register
+// PIO_SODR = Set Output Data Register
+//#define LOW(x)        AT91C_BASE_PIOA->PIO_CODR = (x)
+//#define HIGH(x)       AT91C_BASE_PIOA->PIO_SODR = (x)
+void SimulateTagLowFrequency( uint16_t period, uint32_t gap, uint8_t ledcontrol)
 {
-       int i;
-       uint8_t *tab = (uint8_t *)BigBuf;
-    
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_EDGE_DETECT);
-    
-       AT91C_BASE_PIOA->PIO_PER = GPIO_SSC_DOUT | GPIO_SSC_CLK;
-    
+       LED_D_ON();
+
+       uint16_t i = 0;
+       uint8_t send = 0;
+       
+       //int overflow = 0;
+       uint8_t *buf = (uint8_t *)BigBuf;
+
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_EDGE_DETECT | FPGA_LF_EDGE_DETECT_READER_FIELD); 
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+       SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
+       RELAY_OFF();
+       
+       // Configure output pin that is connected to the FPGA (for modulating)
        AT91C_BASE_PIOA->PIO_OER = GPIO_SSC_DOUT;
-       AT91C_BASE_PIOA->PIO_ODR = GPIO_SSC_CLK;
-    
-#define SHORT_COIL()   LOW(GPIO_SSC_DOUT)
-#define OPEN_COIL()            HIGH(GPIO_SSC_DOUT)
-    
-       i = 0;
-       for(;;) {
-               while(!(AT91C_BASE_PIOA->PIO_PDSR & GPIO_SSC_CLK)) {
-                       if(BUTTON_PRESS()) {
-                               DbpString("Stopped");
-                               return;
+       AT91C_BASE_PIOA->PIO_PER = GPIO_SSC_DOUT;
+
+       SHORT_COIL();
+
+       // Enable Peripheral Clock for TIMER_CLOCK0, used to measure exact timing before answering
+       AT91C_BASE_PMC->PMC_PCER = (1 << AT91C_ID_TC0);
+       
+       // Enable Peripheral Clock for TIMER_CLOCK1, used to capture edges of the reader frames
+       AT91C_BASE_PMC->PMC_PCER = (1 << AT91C_ID_TC1);
+       AT91C_BASE_PIOA->PIO_BSR = GPIO_SSC_FRAME;
+       
+    // Disable timer during configuration      
+       AT91C_BASE_TC1->TC_CCR = AT91C_TC_CLKDIS;
+       
+       // Capture mode, default timer source = MCK/2 (TIMER_CLOCK1), TIOA is external trigger,
+       // external trigger rising edge, load RA on rising edge of TIOA.
+       AT91C_BASE_TC1->TC_CMR = AT91C_TC_CLKS_TIMER_DIV1_CLOCK | AT91C_TC_ETRGEDG_RISING | AT91C_TC_ABETRG | AT91C_TC_LDRA_RISING;
+       
+       // Enable and reset counter
+       //AT91C_BASE_TC0->TC_CCR = AT91C_TC_CLKEN | AT91C_TC_SWTRG;
+       AT91C_BASE_TC1->TC_CCR = AT91C_TC_CLKEN | AT91C_TC_SWTRG;
+
+       while(!BUTTON_PRESS()) { 
+               WDT_HIT();
+               
+               // Receive frame, watch for at most T0*EOF periods
+               while (AT91C_BASE_TC1->TC_CV < T0 * 55) {
+
+               // Check if rising edge in modulation is detected
+                       if(AT91C_BASE_TC1->TC_SR & AT91C_TC_LDRAS) {
+                               // Retrieve the new timing values 
+                               //int ra = (AT91C_BASE_TC1->TC_RA/T0) + overflow;
+                               //Dbprintf("Timing value - %d  %d", ra, overflow);
+                               //overflow = 0;
+
+                               // Reset timer every frame, we have to capture the last edge for timing
+                               AT91C_BASE_TC0->TC_CCR = AT91C_TC_CLKEN | AT91C_TC_SWTRG;
+                               send = 1;
+                               
+                               LED_B_ON();
                        }
-                       WDT_HIT();
-               }
-        
-               if (ledcontrol)
-                       LED_D_ON();
-        
-               if(tab[i])
-                       OPEN_COIL();
-               else
-                       SHORT_COIL();
-        
-               if (ledcontrol)
-                       LED_D_OFF();
-        
-               while(AT91C_BASE_PIOA->PIO_PDSR & GPIO_SSC_CLK) {
-                       if(BUTTON_PRESS()) {
-                               DbpString("Stopped");
-                               return;
+               } 
+
+               if ( send ) {
+                       // Disable timer 1 with external trigger to avoid triggers during our own modulation
+                       AT91C_BASE_TC1->TC_CCR = AT91C_TC_CLKDIS;
+                       
+                       // Wait for HITAG_T_WAIT_1 carrier periods after the last reader bit,
+                       // not that since the clock counts since the rising edge, but T_Wait1 is
+                       // with respect to the falling edge, we need to wait actually (T_Wait1 - T_Low)
+                       // periods. The gap time T_Low varies (4..10). All timer values are in 
+                       // terms of T0 units
+                       while(AT91C_BASE_TC0->TC_CV < T0 * 16  );
+                       
+                       // datat kommer in som 1 bit för varje position i arrayn
+                       for(i = 0; i < period; ++i) {
+                               
+                               // Reset clock for the next bit 
+                               AT91C_BASE_TC0->TC_CCR = AT91C_TC_SWTRG;
+
+                               if ( buf[i] > 0 )
+                                       HIGH(GPIO_SSC_DOUT);
+                               else
+                                       LOW(GPIO_SSC_DOUT);
+                               
+                               while(AT91C_BASE_TC0->TC_CV < T0 * 1 );
                        }
-                       WDT_HIT();
+                       // Drop modulation
+                       LOW(GPIO_SSC_DOUT);
+                                                       
+                       // Enable and reset external trigger in timer for capturing future frames
+                       AT91C_BASE_TC1->TC_CCR = AT91C_TC_CLKEN | AT91C_TC_SWTRG;
+                       LED_B_OFF();
                }
-        
-               i++;
-               if(i == period) {
-                       i = 0;
-                       if (gap) {
-                               SHORT_COIL();
-                               SpinDelayUs(gap);
+               
+               send = 0;
+               
+               // Save the timer overflow, will be 0 when frame was received
+               //overflow += (AT91C_BASE_TC1->TC_CV/T0);
+               
+               // Reset the timer to restart while-loop that receives frames
+               AT91C_BASE_TC1->TC_CCR = AT91C_TC_SWTRG;
+       }
+       
+       LED_B_OFF();
+       LED_D_OFF();
+       AT91C_BASE_TC1->TC_CCR = AT91C_TC_CLKDIS;
+       AT91C_BASE_TC0->TC_CCR = AT91C_TC_CLKDIS;
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
+       
+       DbpString("Sim Stopped");
+}
+
+
+void SimulateTagLowFrequencyA(int len, int gap)
+{
+       //Dbprintf("LEN %d || Gap %d",len, gap);
+
+       uint8_t *buf = (uint8_t *)BigBuf;
+
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_EDGE_DETECT | FPGA_LF_EDGE_DETECT_TOGGLE_MODE); // new izsh toggle mode!
+       
+       // Connect the A/D to the peak-detected low-frequency path.
+       SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
+
+       // Now set up the SSC to get the ADC samples that are now streaming at us.
+       FpgaSetupSsc();
+       SpinDelay(5);
+       
+       AT91C_BASE_SSC->SSC_THR = 0x00;
+       
+       int i = 0;
+       while(!BUTTON_PRESS()) { 
+               WDT_HIT();
+               if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
+                       
+                       if ( buf[i] > 0 )
+                               AT91C_BASE_SSC->SSC_THR = 0x43;
+                       else
+                               AT91C_BASE_SSC->SSC_THR = 0x00;
+
+                       ++i;
+                       LED_A_ON();
+                       if (i >= len){
+                               i = 0;
                        }
                }
+               
+               if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_RXRDY) {
+                       volatile uint32_t r = AT91C_BASE_SSC->SSC_RHR;
+                       (void)r;
+                       LED_A_OFF();
+               }
        }
+       DbpString("lf simulate stopped");
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
 }
 
 #define DEBUG_FRAME_CONTENTS 1
@@ -490,7 +618,7 @@ void SimulateTagLowFrequencyBidir(int divisor, int t0)
 }
 
 // compose fc/8 fc/10 waveform
-static void fc(int c, int *n) {
+static void fc(int c, uint16_t *n) {
        uint8_t *dest = (uint8_t *)BigBuf;
        int idx;
 
@@ -538,9 +666,9 @@ static void fc(int c, int *n) {
 
 // prepare a waveform pattern in the buffer based on the ID given then
 // simulate a HID tag until the button is pressed
-void CmdHIDsimTAG(int hi, int lo, int ledcontrol)
+void CmdHIDsimTAG(int hi, int lo, uint8_t ledcontrol)
 {
-       int n=0, i=0;
+       uint16_t n=0, i=0;
        /*
         HID tag bitstream format
         The tag contains a 44bit unique code. This is sent out MSB first in sets of 4 bits
@@ -586,225 +714,341 @@ void CmdHIDsimTAG(int hi, int lo, int ledcontrol)
 
        if (ledcontrol)
                LED_A_ON();
+       
        SimulateTagLowFrequency(n, 0, ledcontrol);
 
        if (ledcontrol)
                LED_A_OFF();
 }
 
+//translate wave to 11111100000 (1 for each short wave 0 for each long wave) 
+size_t fsk_demod(uint8_t * dest, size_t size)
+{
+       uint32_t last_transition = 0;
+       uint32_t idx = 1;
+       uint32_t maxVal=0;
+       // // we don't care about actual value, only if it's more or less than a
+       // // threshold essentially we capture zero crossings for later analysis
+
+       // we do care about the actual value as sometimes near the center of the
+       // wave we may get static that changes direction of wave for one value
+       // if our value is too low it might affect the read.  and if our tag or
+       // antenna is weak a setting too high might not see anything. [marshmellow]
+       if (size<100) return size;
+       for(idx=1; idx<100; idx++){
+       if(maxVal<dest[idx]) maxVal = dest[idx];
+    }
+    // set close to the top of the wave threshold with 13% margin for error
+    // less likely to get a false transition up there. 
+    // (but have to be careful not to go too high and miss some short waves)
+       uint32_t threshold_value = (uint32_t)(maxVal*.87);      idx=1;
+       //uint8_t threshold_value = 127;
+
+       // sync to first lo-hi transition, and threshold
+
+       //Need to threshold first sample
+       dest[0] = (dest[0] < threshold_value) ? 0 : 1;
+
+       size_t numBits = 0;
+       // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
+       // or 10 (fc/10) cycles but in practice due to noise etc we may end up with with anywhere
+       // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
+       for(idx = 1; idx < size; idx++) {
+               // threshold current value
+               dest[idx] = (dest[idx] < threshold_value) ? 0 : 1;
+
+               // Check for 0->1 transition
+               if (dest[idx-1] < dest[idx]) { // 0 -> 1 transition
+
+                       dest[numBits] =  (idx-last_transition <  9) ? 1 : 0;
+                       last_transition = idx;
+                       numBits++;
+               }
+       }
+       return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
+}
 
-// loop to capture raw HID waveform then FSK demodulate the TAG ID from it
-void CmdHIDdemodFSK(int findone, int *high, int *low, int ledcontrol)
+uint32_t myround(float f)
 {
-       uint8_t *dest = (uint8_t *)BigBuf;
-       int m=0, n=0, i=0, idx=0, found=0, lastval=0;
-       uint32_t hi2=0, hi=0, lo=0;
+  if (f >= 2000) return 2000;//something bad happened
+  return (uint32_t) (f + (float)0.5);
+}
 
-       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+//translate 11111100000 to 10 
+size_t aggregate_bits(uint8_t *dest,size_t size,  uint8_t rfLen, uint8_t maxConsequtiveBits, uint8_t invert )// uint8_t h2l_crossing_value,uint8_t l2h_crossing_value, 
+{
+       uint8_t lastval=dest[0];
+       uint32_t idx=0;
+       size_t numBits=0;
+       uint32_t n=1;
 
-       // Connect the A/D to the peak-detected low-frequency path.
-       SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
+       for( idx=1; idx < size; idx++) {
 
-       // Give it a bit of time for the resonant antenna to settle.
-       SpinDelay(50);
+               if (dest[idx]==lastval) {
+                       n++;
+                       continue;
+               }
+               //if lastval was 1, we have a 1->0 crossing
+               if ( dest[idx-1]==1 ) {
+                       n=myround((float)(n+1)/((float)(rfLen)/(float)8));
+                       //n=(n+1) / h2l_crossing_value;
+               } else {// 0->1 crossing
+                       n=myround((float)(n+1)/((float)(rfLen-2)/(float)10));
+                       //n=(n+1) / l2h_crossing_value;
+               }
+               if (n == 0) n = 1;
+
+               if(n < maxConsequtiveBits)
+               {
+                       if ( invert==0)
+                               memset(dest+numBits, dest[idx-1] , n);
+                       else
+                               memset(dest+numBits, dest[idx-1]^1 , n);
+                       
+                       numBits += n;
+               }
+               n=0;
+               lastval=dest[idx];
+       }//end for
 
-       // Now set up the SSC to get the ADC samples that are now streaming at us.
-       FpgaSetupSsc();
+       return numBits;
 
-       for(;;) {
-               WDT_HIT();
-               if (ledcontrol)
-                       LED_A_ON();
-               if(BUTTON_PRESS()) {
-                       DbpString("Stopped");
-                       if (ledcontrol)
-                               LED_A_OFF();
-                       return;
-               }
+}
+// loop to get raw HID waveform then FSK demodulate the TAG ID from it
+void CmdHIDdemodFSK(int findone, int *high, int *low, int ledcontrol)
+{
+       uint8_t *dest = get_bigbufptr_recvrespbuf();
 
-               i = 0;
-               m = sizeof(BigBuf);
-               memset(dest,128,m);
-               for(;;) {
-                       if(AT91C_BASE_SSC->SSC_SR & (AT91C_SSC_TXRDY)) {
-                               AT91C_BASE_SSC->SSC_THR = 0x43;
-                               if (ledcontrol)
-                                       LED_D_ON();
-                       }
-                       if(AT91C_BASE_SSC->SSC_SR & (AT91C_SSC_RXRDY)) {
-                               dest[i] = (uint8_t)AT91C_BASE_SSC->SSC_RHR;
-                               // we don't care about actual value, only if it's more or less than a
-                               // threshold essentially we capture zero crossings for later analysis
-                               if(dest[i] < 127) dest[i] = 0; else dest[i] = 1;
-                               i++;
-                               if (ledcontrol)
-                                       LED_D_OFF();
-                               if(i >= m) {
-                                       break;
-                               }
-                       }
-               }
+       size_t size=0,idx=0; //, found=0;
+       uint32_t hi2=0, hi=0, lo=0;
 
-               // FSK demodulator
+       // Configure to go in 125Khz listen mode
+       LFSetupFPGAForADC(0, true);
+
+       while(!BUTTON_PRESS()) {
 
-               // sync to first lo-hi transition
-               for( idx=1; idx<m; idx++) {
-                       if (dest[idx-1]<dest[idx])
-                               lastval=idx;
-                               break;
-               }
                WDT_HIT();
+               if (ledcontrol) LED_A_ON();
 
-               // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
-               // or 10 (fc/10) cycles but in practice due to noise etc we may end up with with anywhere
-               // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
-               for( i=0; idx<m; idx++) {
-                       if (dest[idx-1]<dest[idx]) {
-                               dest[i]=idx-lastval;
-                               if (dest[i] <= 8) {
-                                               dest[i]=1;
-                               } else {
-                                               dest[i]=0;
-                               }
+               DoAcquisition125k_internal(-1,true);
 
-                               lastval=idx;
-                               i++;
-                       }
-               }
-               m=i;
-               WDT_HIT();
+               // FSK demodulator
+               size = fsk_demod(dest, FREE_BUFFER_SIZE);
 
                // we now have a set of cycle counts, loop over previous results and aggregate data into bit patterns
-               lastval=dest[0];
-               idx=0;
-               i=0;
-               n=0;
-               for( idx=0; idx<m; idx++) {
-                       if (dest[idx]==lastval) {
-                               n++;
-                       } else {
-                               // a bit time is five fc/10 or six fc/8 cycles so figure out how many bits a pattern width represents,
-                               // an extra fc/8 pattern preceeds every 4 bits (about 200 cycles) just to complicate things but it gets
-                               // swallowed up by rounding
-                               // expected results are 1 or 2 bits, any more and it's an invalid manchester encoding
-                               // special start of frame markers use invalid manchester states (no transitions) by using sequences
-                               // like 111000
-                               if (dest[idx-1]) {
-                                       n=(n+1)/6;                      // fc/8 in sets of 6
-                               } else {
-                                       n=(n+1)/5;                      // fc/10 in sets of 5
-                               }
-                               switch (n) {                    // stuff appropriate bits in buffer
-                                       case 0:
-                                       case 1: // one bit
-                                               dest[i++]=dest[idx-1];
-                                               break;
-                                       case 2: // two bits
-                                               dest[i++]=dest[idx-1];
-                                               dest[i++]=dest[idx-1];
-                                               break;
-                                       case 3: // 3 bit start of frame markers
-                                               dest[i++]=dest[idx-1];
-                                               dest[i++]=dest[idx-1];
-                                               dest[i++]=dest[idx-1];
-                                               break;
-                                       // When a logic 0 is immediately followed by the start of the next transmisson
-                                       // (special pattern) a pattern of 4 bit duration lengths is created.
-                                       case 4:
-                                               dest[i++]=dest[idx-1];
-                                               dest[i++]=dest[idx-1];
-                                               dest[i++]=dest[idx-1];
-                                               dest[i++]=dest[idx-1];
-                                               break;
-                                       default:        // this shouldn't happen, don't stuff any bits
-                                               break;
-                               }
-                               n=0;
-                               lastval=dest[idx];
-                       }
-               }
-               m=i;
+               // 1->0 : fc/8 in sets of 6  (RF/50 / 8 = 6.25)
+               // 0->1 : fc/10 in sets of 5 (RF/50 / 10= 5)
+               // do not invert
+               size = aggregate_bits(dest,size, 50,5,0);  //6,5,5,0 
+
                WDT_HIT();
 
                // final loop, go over previously decoded manchester data and decode into usable tag ID
                // 111000 bit pattern represent start of frame, 01 pattern represents a 1 and 10 represents a 0
-               for( idx=0; idx<m-6; idx++) {
+               uint8_t frame_marker_mask[] = {1,1,1,0,0,0};
+               int numshifts = 0;
+               idx = 0;
+               //one scan
+               uint8_t sameCardCount =0;
+               while( idx + sizeof(frame_marker_mask) < size) {
                        // search for a start of frame marker
-                       if ( dest[idx] && dest[idx+1] && dest[idx+2] && (!dest[idx+3]) && (!dest[idx+4]) && (!dest[idx+5]) )
-                       {
-                               found=1;
-                               idx+=6;
-                               if (found && (hi2|hi|lo)) {
-                                       if (hi2 != 0){
-                                       Dbprintf("TAG ID: %x%08x%08x (%d)",
-                                               (unsigned int) hi2, (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF);
-                               }               
-                                       else {
-                                         Dbprintf("TAG ID: %x%08x (%d)",
-                                                 (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF);
-                                       }       
-                                       /* if we're only looking for one tag */
-                                       if (findone)
-                                       {
-                                               *high = hi;
-                                               *low = lo;
-                                               return;
-                                       }
-                                       hi2=0;
-                                       hi=0;
-                                       lo=0;
-                                       found=0;
-                               }
-                       }
-                       if (found) {
-                               if (dest[idx] && (!dest[idx+1]) ) {
+                       if (sameCardCount>2) break;  //only up to 2 valid sets of data for the same read of looping card data
+                       if ( memcmp(dest+idx, frame_marker_mask, sizeof(frame_marker_mask)) == 0)
+                       { // frame marker found
+                               idx+=sizeof(frame_marker_mask);
+
+                               while(dest[idx] != dest[idx+1] && idx < size-2)
+                               {       
+                                       // Keep going until next frame marker (or error)
+                                       // Shift in a bit. Start by shifting high registers
                                        hi2=(hi2<<1)|(hi>>31);
                                        hi=(hi<<1)|(lo>>31);
+                                       //Then, shift in a 0 or one into low
+                                       if (dest[idx] && !dest[idx+1])  // 1 0
                                        lo=(lo<<1)|0;
-                               } else if ( (!dest[idx]) && dest[idx+1]) {
-                                       hi2=(hi2<<1)|(hi>>31);
-                                       hi=(hi<<1)|(lo>>31);
-                                       lo=(lo<<1)|1;
-                               } else {
-                                       found=0;
-                                       hi2=0;
-                                       hi=0;
-                                       lo=0;
+                                       else // 0 1
+                                               lo=(lo<<1)|
+                                                               1;
+                                       numshifts ++;
+                                       idx += 2;
                                }
-                               idx++;
-                       }
-                       if ( dest[idx] && dest[idx+1] && dest[idx+2] && (!dest[idx+3]) && (!dest[idx+4]) && (!dest[idx+5]) )
-                       {
-                               found=1;
-                               idx+=6;
-                               if (found && (hi|lo)) {
-                                       if (hi2 != 0){
-                                       Dbprintf("TAG ID: %x%08x%08x (%d)",
-                                               (unsigned int) hi2, (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF);
-                               }               
-                                       else {
-                                         Dbprintf("TAG ID: %x%08x (%d)",
-                                                 (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF);
-                                       }       
-                                       /* if we're only looking for one tag */
-                                       if (findone)
+                               //Dbprintf("Num shifts: %d ", numshifts);
+                               // Hopefully, we read a tag and  hit upon the next frame marker
+                               if(idx + sizeof(frame_marker_mask) < size)
+                               {
+                                       if ( memcmp(dest+idx, frame_marker_mask, sizeof(frame_marker_mask)) == 0)
                                        {
-                                               *high = hi;
-                                               *low = lo;
-                                               return;
+                                               if (hi2 != 0){ //extra large HID tags
+                                                       Dbprintf("TAG ID: %x%08x%08x (%d)",
+                                                                (unsigned int) hi2, (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF);
+                                               }
+                                               else {  //standard HID tags <38 bits
+                                                       //Dbprintf("TAG ID: %x%08x (%d)",(unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF); //old print cmd
+                                                       uint8_t bitlen = 0;
+                                                       uint32_t fc = 0;
+                                                       uint32_t cardnum = 0;
+                                                       if (((hi>>5)&1)==1){//if bit 38 is set then < 37 bit format is used
+                                                               uint32_t lo2=0;
+                                                               lo2=(((hi & 31) << 12) | (lo>>20)); //get bits 21-37 to check for format len bit
+                                                               uint8_t idx3 = 1;
+                                                               while(lo2>1){ //find last bit set to 1 (format len bit)
+                                                                       lo2=lo2>>1;
+                                                                       idx3++;
+                                                               }
+                                                               bitlen =idx3+19;  
+                                                               fc =0;
+                                                               cardnum=0;
+                                                               if(bitlen==26){
+                                                                       cardnum = (lo>>1)&0xFFFF;
+                                                                       fc = (lo>>17)&0xFF;
+                                                               }
+                                                               if(bitlen==37){
+                                                                       cardnum = (lo>>1)&0x7FFFF;
+                                                                       fc = ((hi&0xF)<<12)|(lo>>20);
+                                                               }
+                                                               if(bitlen==34){
+                                                                       cardnum = (lo>>1)&0xFFFF;
+                                                                       fc= ((hi&1)<<15)|(lo>>17);
+                                                               }
+                                                               if(bitlen==35){
+                                                                       cardnum = (lo>>1)&0xFFFFF;
+                                                                       fc = ((hi&1)<<11)|(lo>>21);
+                                                               }
+                                                       }
+                                                       else { //if bit 38 is not set then 37 bit format is used
+                                                               bitlen= 37;
+                                                               fc =0;
+                                                               cardnum=0;
+                                                               if(bitlen==37){
+                                                                       cardnum = (lo>>1)&0x7FFFF;
+                                                                       fc = ((hi&0xF)<<12)|(lo>>20);
+                                                               }
+                                                       }
+                                                                       //Dbprintf("TAG ID: %x%08x (%d)",
+                                                       // (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF);                              
+                                                       Dbprintf("TAG ID: %x%08x (%d) - Format Len: %dbit - FC: %d - Card: %d",
+                                                               (unsigned int) hi, (unsigned int) lo, (unsigned int) (lo>>1) & 0xFFFF,
+                                                               (unsigned int) bitlen, (unsigned int) fc, (unsigned int) cardnum);
+                                               }
+                                               sameCardCount++;
+                                               if (findone){
+                                                       if (ledcontrol) LED_A_OFF();
+                                                       return;
+                                               }
                                        }
-          hi2=0;
-                                       hi=0;
-                                       lo=0;
-                                       found=0;
                                }
+                               // reset
+                               hi2 = hi = lo = 0;
+                               numshifts = 0;
+                       } else {
+                               idx++;
                        }
                }
                WDT_HIT();
+
        }
+       DbpString("Stopped");
+       if (ledcontrol) LED_A_OFF();
 }
 
+uint32_t bytebits_to_byte(uint8_t* src, int numbits)
+{
+       uint32_t num = 0;
+       for(int i = 0 ; i < numbits ; i++)
+       {
+               num = (num << 1) | (*src);
+               src++;
+       }
+       return num;
+}
+
+
+void CmdIOdemodFSK(int findone, int *high, int *low, int ledcontrol)
+{
+       uint8_t *dest = (uint8_t *)BigBuf;
+       size_t size=0, idx=0;
+       uint32_t code=0, code2=0;
+       uint8_t isFinish = 0;
+       
+       // Configure to go in 125Khz listen mode
+       LFSetupFPGAForADC(0, true);
+
+       while(!BUTTON_PRESS() & !isFinish) {
+
+               WDT_HIT();
+               
+               if (ledcontrol) LED_A_ON();
+
+               DoAcquisition125k_internal(-1,true);
+               size  = sizeof(BigBuf);
+               //make sure buffer has data
+               if (size < 64) return;
+               //test samples are not just noise
+               uint8_t testMax=0;
+               for(idx=0;idx<64;idx++){
+                       if (testMax<dest[idx]) testMax=dest[idx];
+               }
+               idx=0;
+               //if not just noise
+               if (testMax>170){
+                       //Dbprintf("testMax: %d",testMax);              
+               // FSK demodulator
+               size = fsk_demod(dest, size);
+               // we now have a set of cycle counts, loop over previous results and aggregate data into bit patterns
+               // 1->0 : fc/8 in sets of 7  (RF/64 / 8 = 8)
+               // 0->1 : fc/10 in sets of 6 (RF/64 / 10 = 6.4)
+                       size = aggregate_bits(dest, size, 64, 13, 1);  //13 max Consecutive should be ok as most 0s in row should be 10 for init seq - invert bits
+                       WDT_HIT();
+               //Index map
+               //0 10 20 30 40 50 60
+               //| | | | | | |
+               //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
+               //-----------------------------------------------------------------------------
+               //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
+               //
+               //XSF(version)facility:codeone+codetwo
+               //Handle the data
+                       uint8_t sameCardCount=0;
+           uint8_t mask[] = {0,0,0,0,0,0,0,0,0,1};
+                       for( idx=0; idx < (size - 74); idx++) {
+                               if (sameCardCount>2) break;
+                       if ( memcmp(dest + idx, mask, sizeof(mask))==0) {
+                               //frame marker found
+                               if (!dest[idx+8] && dest[idx+17]==1 && dest[idx+26]==1 && dest[idx+35]==1 && dest[idx+44]==1 && dest[idx+53]==1){
+                                       //confirmed proper separator bits found
+                               if(findone){ //only print binary if we are doing one
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d",dest[idx], dest[idx+1], dest[idx+2],dest[idx+3],dest[idx+4],dest[idx+5],dest[idx+6],dest[idx+7],dest[idx+8]);
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d",dest[idx+9], dest[idx+10],dest[idx+11],dest[idx+12],dest[idx+13],dest[idx+14],dest[idx+15],dest[idx+16],dest[idx+17]);
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d",dest[idx+18],dest[idx+19],dest[idx+20],dest[idx+21],dest[idx+22],dest[idx+23],dest[idx+24],dest[idx+25],dest[idx+26]);
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d",dest[idx+27],dest[idx+28],dest[idx+29],dest[idx+30],dest[idx+31],dest[idx+32],dest[idx+33],dest[idx+34],dest[idx+35]);
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d",dest[idx+36],dest[idx+37],dest[idx+38],dest[idx+39],dest[idx+40],dest[idx+41],dest[idx+42],dest[idx+43],dest[idx+44]);
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d",dest[idx+45],dest[idx+46],dest[idx+47],dest[idx+48],dest[idx+49],dest[idx+50],dest[idx+51],dest[idx+52],dest[idx+53]);
+                                       Dbprintf("%d%d%d%d%d%d%d%d %d%d",dest[idx+54],dest[idx+55],dest[idx+56],dest[idx+57],dest[idx+58],dest[idx+59],dest[idx+60],dest[idx+61],dest[idx+62],dest[idx+63]);
+                               }
+                               code = bytebits_to_byte(dest+idx,32);
+                               code2 = bytebits_to_byte(dest+idx+32,32);
+                                           short version = bytebits_to_byte(dest+idx+27,8); //14,4
+                                           uint8_t facilitycode = bytebits_to_byte(dest+idx+19,8) ;
+                                           uint16_t number = (bytebits_to_byte(dest+idx+36,8)<<8)|(bytebits_to_byte(dest+idx+45,8)); //36,9
+
+                               Dbprintf("XSF(%02d)%02x:%d (%08x%08x)",version,facilitycode,number,code,code2);
+                       
+                               // if we're only looking for one tag
+                               if (findone){
+                                       if (ledcontrol) LED_A_OFF();
+                                       isFinish = 1;
+                                       break;
+                                       }
+                                               sameCardCount++;
+                                       }
+                               }
+                       }
+               }
+               WDT_HIT();
+       }
+       DbpString("Stopped");
+       if (ledcontrol) LED_A_OFF();
+}
 
 /*------------------------------
  * T5555/T5557/T5567 routines
@@ -812,14 +1056,14 @@ void CmdHIDdemodFSK(int findone, int *high, int *low, int ledcontrol)
  */
 
 /* T55x7 configuration register definitions */
-#define T55x7_POR_DELAY                        0x00000001
-#define T55x7_ST_TERMINATOR            0x00000008
-#define T55x7_PWD                      0x00000010
+#define T55x7_POR_DELAY                                0x00000001
+#define T55x7_ST_TERMINATOR                    0x00000008
+#define T55x7_PWD                                      0x00000010
 #define T55x7_MAXBLOCK_SHIFT           5
-#define T55x7_AOR                      0x00000200
-#define T55x7_PSKCF_RF_2               0
-#define T55x7_PSKCF_RF_4               0x00000400
-#define T55x7_PSKCF_RF_8               0x00000800
+#define T55x7_AOR                                      0x00000200
+#define T55x7_PSKCF_RF_2                       0
+#define T55x7_PSKCF_RF_4                       0x00000400
+#define T55x7_PSKCF_RF_8                       0x00000800
 #define T55x7_MODULATION_DIRECT                0
 #define T55x7_MODULATION_PSK1          0x00001000
 #define T55x7_MODULATION_PSK2          0x00002000
@@ -830,17 +1074,17 @@ void CmdHIDdemodFSK(int findone, int *high, int *low, int ledcontrol)
 #define T55x7_MODULATION_FSK2a         0x00007000
 #define T55x7_MODULATION_MANCHESTER    0x00008000
 #define T55x7_MODULATION_BIPHASE       0x00010000
-#define T55x7_BITRATE_RF_8             0
-#define T55x7_BITRATE_RF_16            0x00040000
-#define T55x7_BITRATE_RF_32            0x00080000
-#define T55x7_BITRATE_RF_40            0x000C0000
-#define T55x7_BITRATE_RF_50            0x00100000
-#define T55x7_BITRATE_RF_64            0x00140000
+#define T55x7_BITRATE_RF_8                     0
+#define T55x7_BITRATE_RF_16                    0x00040000
+#define T55x7_BITRATE_RF_32                    0x00080000
+#define T55x7_BITRATE_RF_40                    0x000C0000
+#define T55x7_BITRATE_RF_50                    0x00100000
+#define T55x7_BITRATE_RF_64                    0x00140000
 #define T55x7_BITRATE_RF_100           0x00180000
 #define T55x7_BITRATE_RF_128           0x001C0000
 
 /* T5555 (Q5) configuration register definitions */
-#define T5555_ST_TERMINATOR            0x00000001
+#define T5555_ST_TERMINATOR                    0x00000001
 #define T5555_MAXBLOCK_SHIFT           0x00000001
 #define T5555_MODULATION_MANCHESTER    0
 #define T5555_MODULATION_PSK1          0x00000010
@@ -850,32 +1094,43 @@ void CmdHIDdemodFSK(int findone, int *high, int *low, int ledcontrol)
 #define T5555_MODULATION_FSK2          0x00000050
 #define T5555_MODULATION_BIPHASE       0x00000060
 #define T5555_MODULATION_DIRECT                0x00000070
-#define T5555_INVERT_OUTPUT            0x00000080
-#define T5555_PSK_RF_2                 0
-#define T5555_PSK_RF_4                 0x00000100
-#define T5555_PSK_RF_8                 0x00000200
-#define T5555_USE_PWD                  0x00000400
-#define T5555_USE_AOR                  0x00000800
-#define T5555_BITRATE_SHIFT            12
-#define T5555_FAST_WRITE               0x00004000
-#define T5555_PAGE_SELECT              0x00008000
+#define T5555_INVERT_OUTPUT                    0x00000080
+#define T5555_PSK_RF_2                         0
+#define T5555_PSK_RF_4                         0x00000100
+#define T5555_PSK_RF_8                         0x00000200
+#define T5555_USE_PWD                          0x00000400
+#define T5555_USE_AOR                          0x00000800
+#define T5555_BITRATE_SHIFT                    12
+#define T5555_FAST_WRITE                       0x00004000
+#define T5555_PAGE_SELECT                      0x00008000
 
 /*
  * Relevant times in microsecond
  * To compensate antenna falling times shorten the write times
  * and enlarge the gap ones.
  */
-#define START_GAP 250
-#define WRITE_GAP 160
-#define WRITE_0   144 // 192
-#define WRITE_1   400 // 432 for T55x7; 448 for E5550
+#define START_GAP 30*8 // 10 - 50fc 250
+#define WRITE_GAP 20*8 //  8 - 30fc
+#define WRITE_0   24*8 // 16 - 31fc 24fc 192
+#define WRITE_1   54*8 // 48 - 63fc 54fc 432 for T55x7; 448 for E5550
+
+//  VALUES TAKEN FROM EM4x function: SendForward
+//  START_GAP = 440;       (55*8) cycles at 125Khz (8us = 1cycle)
+//  WRITE_GAP = 128;       (16*8)
+//  WRITE_1   = 256 32*8;  (32*8) 
+
+//  These timings work for 4469/4269/4305 (with the 55*8 above)
+//  WRITE_0 = 23*8 , 9*8  SpinDelayUs(23*8); 
+
+#define T55xx_SAMPLES_SIZE             12000 // 32 x 32 x 10  (32 bit times numofblock (7), times clock skip..)
 
 // Write one bit to card
 void T55xxWriteBit(int bit)
 {
+       FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
        FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
-       if (bit == 0)
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
+       if (!bit)
                SpinDelayUs(WRITE_0);
        else
                SpinDelayUs(WRITE_1);
@@ -886,14 +1141,11 @@ void T55xxWriteBit(int bit)
 // Write one card block in page 0, no lock
 void T55xxWriteBlock(uint32_t Data, uint32_t Block, uint32_t Pwd, uint8_t PwdMode)
 {
-       unsigned int i;
+       uint32_t i = 0;
 
-       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
-
-       // Give it a bit of time for the resonant antenna to settle.
-       // And for the tag to fully power up
-       SpinDelay(150);
+       // Set up FPGA, 125kHz
+       // Wait for config.. (192+8190xPOW)x8 == 67ms
+       LFSetupFPGAForADC(0, true);
 
        // Now start writting
        FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
@@ -906,7 +1158,7 @@ void T55xxWriteBlock(uint32_t Data, uint32_t Block, uint32_t Pwd, uint8_t PwdMod
                // Pwd
                for (i = 0x80000000; i != 0; i >>= 1)
                        T55xxWriteBit(Pwd & i);
-       }       
+       }
        // Lock bit
        T55xxWriteBit(0);
 
@@ -921,38 +1173,28 @@ void T55xxWriteBlock(uint32_t Data, uint32_t Block, uint32_t Pwd, uint8_t PwdMod
        // Now perform write (nominal is 5.6 ms for T55x7 and 18ms for E5550,
        // so wait a little more)
        FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
        SpinDelay(20);
        FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
 }
 
-
-// Read one card block in page 0 
+// Read one card block in page 0
 void T55xxReadBlock(uint32_t Block, uint32_t Pwd, uint8_t PwdMode)
 {
-       uint8_t *dest = (uint8_t *)BigBuf;
-       int m=0, i=0;
-       m = sizeof(BigBuf);
-  // Clear destination buffer before sending the command
-       memset(dest, 128, m);
-       // Connect the A/D to the peak-detected low-frequency path.
-       SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
-       // Now set up the SSC to get the ADC samples that are now streaming at us.
-       FpgaSetupSsc();
+       uint8_t *dest =  get_bigbufptr_recvrespbuf();
+       uint16_t bufferlength = T55xx_SAMPLES_SIZE;
+       uint32_t i = 0;
 
-       LED_D_ON();
-       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
+       // Clear destination buffer before sending the command  0x80 = average.
+       memset(dest, 0x80, bufferlength);
 
-       // Give it a bit of time for the resonant antenna to settle.
-       // And for the tag to fully power up
-       SpinDelay(150);
+       // Set up FPGA, 125kHz
+       // Wait for config.. (192+8190xPOW)x8 == 67ms
+       LFSetupFPGAForADC(0, true);
 
-       // Now start writting
        FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
        SpinDelayUs(START_GAP);
-
+  
        // Opcode
        T55xxWriteBit(1);
        T55xxWriteBit(0); //Page 0
@@ -960,96 +1202,93 @@ void T55xxReadBlock(uint32_t Block, uint32_t Pwd, uint8_t PwdMode)
                // Pwd
                for (i = 0x80000000; i != 0; i >>= 1)
                        T55xxWriteBit(Pwd & i);
-       }       
+       }
        // Lock bit
        T55xxWriteBit(0);
        // Block
        for (i = 0x04; i != 0; i >>= 1)
                T55xxWriteBit(Block & i);
   
-  // Turn field on to read the response
-       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
-
-       // Now do the acquisition 
+       // Turn field on to read the response
+       TurnReadLFOn();
+  
+       // Now do the acquisition
        i = 0;
        for(;;) {
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
                        AT91C_BASE_SSC->SSC_THR = 0x43;
+                       //AT91C_BASE_SSC->SSC_THR = 0xff;
+                       LED_D_ON();
                }
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_RXRDY) {
                        dest[i] = (uint8_t)AT91C_BASE_SSC->SSC_RHR;
-                       // we don't care about actual value, only if it's more or less than a
-                       // threshold essentially we capture zero crossings for later analysis
-//                     if(dest[i] < 127) dest[i] = 0; else dest[i] = 1;
-                       i++;
-                       if (i >= m) break;
+                       ++i;
+                       LED_D_OFF();
+                       if (i >= bufferlength) break;
                }
        }
-
-  FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
+       cmd_send(CMD_ACK,0,0,0,0,0);
+    FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
        LED_D_OFF();
-       DbpString("DONE!");
 }
 
 // Read card traceability data (page 1)
-void T55xxReadTrace(void){ 
-       uint8_t *dest = (uint8_t *)BigBuf;
-       int m=0, i=0;
-       m = sizeof(BigBuf);
-  // Clear destination buffer before sending the command
-       memset(dest, 128, m);
-       // Connect the A/D to the peak-detected low-frequency path.
-       SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
-       // Now set up the SSC to get the ADC samples that are now streaming at us.
-       FpgaSetupSsc();
-
-       LED_D_ON();
-       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
-
-       // Give it a bit of time for the resonant antenna to settle.
-       // And for the tag to fully power up
-       SpinDelay(150);
-
-       // Now start writting
+void T55xxReadTrace(void){
+       uint8_t *dest =  get_bigbufptr_recvrespbuf();
+       uint16_t bufferlength = T55xx_SAMPLES_SIZE;
+       uint32_t i = 0;
+       
+       // Clear destination buffer before sending the command 0x80 = average
+       memset(dest, 0x80, bufferlength);  
+  
+       LFSetupFPGAForADC(0, true);
+  
        FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF);
        SpinDelayUs(START_GAP);
-
+  
        // Opcode
        T55xxWriteBit(1);
        T55xxWriteBit(1); //Page 1
   
-  // Turn field on to read the response
-       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
-       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);
-
-       // Now do the acquisition 
-       i = 0;
+       // Turn field on to read the response
+       TurnReadLFOn();
+  
+       // Now do the acquisition
        for(;;) {
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
                        AT91C_BASE_SSC->SSC_THR = 0x43;
+                       LED_D_ON();
                }
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_RXRDY) {
                        dest[i] = (uint8_t)AT91C_BASE_SSC->SSC_RHR;
-                       i++;
-                       if (i >= m) break;
+                       ++i;
+                       LED_D_OFF();
+               
+                       if (i >= bufferlength) break;
                }
        }
-
-  FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
+  
+       cmd_send(CMD_ACK,0,0,0,0,0);
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
        LED_D_OFF();
-       DbpString("DONE!");
+}
+
+void TurnReadLFOn(){
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
+       // Give it a bit of time for the resonant antenna to settle.
+       //SpinDelay(30);
+       SpinDelayUs(8*150);
 }
 
 /*-------------- Cloning routines -----------*/
 // Copy HID id to card and setup block 0 config
 void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
 {
-       int data1, data2, data3, data4, data5, data6; //up to six blocks for long format
+       int data1=0, data2=0, data3=0, data4=0, data5=0, data6=0; //up to six blocks for long format
        int last_block = 0;
-
+  
   if (longFMT){
          // Ensure no more than 84 bits supplied
          if (hi2>0xFFFFF) {
@@ -1065,7 +1304,7 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
                  else
                          data1 |= (1<<((3-i)*2)); // 0 -> 01
          }
-
+    
        data2 = 0;
        for (int i=0;i<16;i++) {
                if (hi2 & (1<<(15-i)))
@@ -1073,7 +1312,7 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
                else
                        data2 |= (1<<((15-i)*2)); // 0 -> 01
     }
-
+    
        data3 = 0;
        for (int i=0;i<16;i++) {
                if (hi & (1<<(31-i)))
@@ -1081,7 +1320,7 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
                else
                        data3 |= (1<<((15-i)*2)); // 0 -> 01
        }
-  
+    
        data4 = 0;
        for (int i=0;i<16;i++) {
                if (hi & (1<<(15-i)))
@@ -1097,7 +1336,7 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
                else
                        data5 |= (1<<((15-i)*2)); // 0 -> 01
        }
-  
+    
        data6 = 0;
        for (int i=0;i<16;i++) {
                if (lo & (1<<(15-i)))
@@ -1106,25 +1345,25 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
                        data6 |= (1<<((15-i)*2)); // 0 -> 01
     }
   }
-  else {       
+  else {
          // Ensure no more than 44 bits supplied
          if (hi>0xFFF) {
                  DbpString("Tags can only have 44 bits.");
                  return;
          }
-
+    
        // Build the 3 data blocks for supplied 44bit ID
        last_block = 3;
        
        data1 = 0x1D000000; // load preamble
-  
-       for (int i=0;i<12;i++) {
-               if (hi & (1<<(12-i)))
-                       data1 |= (1<<(((12-i)*2)+1)); // 1 -> 10
-               else
-                       data1 |= (1<<((12-i)*2)); // 0 -> 01
-       }
-  
+    
+    for (int i=0;i<12;i++) {
+      if (hi & (1<<(11-i)))
+        data1 |= (1<<(((11-i)*2)+1)); // 1 -> 10
+      else
+        data1 |= (1<<((11-i)*2)); // 0 -> 01
+    }
+    
        data2 = 0;
        for (int i=0;i<16;i++) {
                if (lo & (1<<(31-i)))
@@ -1132,16 +1371,16 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
                else
                        data2 |= (1<<((15-i)*2)); // 0 -> 01
        }
-  
+    
        data3 = 0;
        for (int i=0;i<16;i++) {
                if (lo & (1<<(15-i)))
                        data3 |= (1<<(((15-i)*2)+1)); // 1 -> 10
                else
                        data3 |= (1<<((15-i)*2)); // 0 -> 01
-       }               
+       }
   }
-
+  
        LED_D_ON();
        // Program the data blocks for supplied ID
        // and the block 0 for HID format
@@ -1154,18 +1393,38 @@ void CopyHIDtoT55x7(uint32_t hi2, uint32_t hi, uint32_t lo, uint8_t longFMT)
          T55xxWriteBlock(data5,5,0,0);
          T55xxWriteBlock(data6,6,0,0);
   }
-
+  
        // Config for HID (RF/50, FSK2a, Maxblock=3 for short/6 for long)
-       T55xxWriteBlock(T55x7_BITRATE_RF_50    |
-                       T55x7_MODULATION_FSK2a |
-                       last_block << T55x7_MAXBLOCK_SHIFT,
-                       0,0,0);
+       T55xxWriteBlock(T55x7_BITRATE_RF_50  |
+                  T55x7_MODULATION_FSK2a |
+                  last_block << T55x7_MAXBLOCK_SHIFT,
+                  0,0,0);
   
        LED_D_OFF();
        
        DbpString("DONE!");
 }
 
+void CopyIOtoT55x7(uint32_t hi, uint32_t lo, uint8_t longFMT)
+{
+   int data1=0, data2=0; //up to six blocks for long format
+       
+    data1 = hi;  // load preamble
+    data2 = lo;
+    
+    LED_D_ON();
+    // Program the data blocks for supplied ID
+    // and the block 0 for HID format
+    T55xxWriteBlock(data1,1,0,0);
+    T55xxWriteBlock(data2,2,0,0);
+       
+    //Config Block
+    T55xxWriteBlock(0x00147040,0,0,0);
+    LED_D_OFF();
+       
+    DbpString("DONE!");
+}
+
 // Define 9bit header for EM410x tags
 #define EM410X_HEADER          0x1FF
 #define EM410X_ID_LENGTH       40
@@ -1177,6 +1436,7 @@ void WriteEM410x(uint32_t card, uint32_t id_hi, uint32_t id_lo)
        uint64_t rev_id = 0;    // reversed ID
        int c_parity[4];        // column parity
        int r_parity = 0;       // row parity
+       uint32_t clock = 0;
 
        // Reverse ID bits given as parameter (for simpler operations)
        for (i = 0; i < EM410X_ID_LENGTH; ++i) {
@@ -1234,12 +1494,35 @@ void WriteEM410x(uint32_t card, uint32_t id_hi, uint32_t id_lo)
        T55xxWriteBlock((uint32_t)id, 2, 0, 0);
 
        // Config for EM410x (RF/64, Manchester, Maxblock=2)
-       if (card)
+       if (card) {
+               // Clock rate is stored in bits 8-15 of the card value
+               clock = (card & 0xFF00) >> 8;
+               Dbprintf("Clock rate: %d", clock);
+               switch (clock)
+               {
+                       case 32:
+                               clock = T55x7_BITRATE_RF_32;
+                               break;
+                       case 16:
+                               clock = T55x7_BITRATE_RF_16;
+                               break;
+                       case 0:
+                               // A value of 0 is assumed to be 64 for backwards-compatibility
+                               // Fall through...
+                       case 64:
+                               clock = T55x7_BITRATE_RF_64;
+                               break;      
+                       default:
+                               Dbprintf("Invalid clock rate: %d", clock);
+                               return;
+               }
+
                // Writing configuration for T55x7 tag
-               T55xxWriteBlock(T55x7_BITRATE_RF_64         |
+               T55xxWriteBlock(clock       |
                                T55x7_MODULATION_MANCHESTER |
                                2 << T55x7_MAXBLOCK_SHIFT,
                                0, 0, 0);
+  }
        else
                // Writing configuration for T5555(Q5) tag
                T55xxWriteBlock(0x1F << T5555_BITRATE_SHIFT |
@@ -1255,7 +1538,6 @@ void WriteEM410x(uint32_t card, uint32_t id_hi, uint32_t id_lo)
 // Clone Indala 64-bit tag by UID to T55x7
 void CopyIndala64toT55x7(int hi, int lo)
 {
-
        //Program the 2 data blocks for supplied 64bit UID
        // and the block 0 for Indala64 format
        T55xxWriteBlock(hi,1,0,0);
@@ -1264,17 +1546,15 @@ void CopyIndala64toT55x7(int hi, int lo)
        T55xxWriteBlock(T55x7_BITRATE_RF_32    |
                        T55x7_MODULATION_PSK1 |
                        2 << T55x7_MAXBLOCK_SHIFT,
-                       0,0,0);
+                       0, 0, 0);
        //Alternative config for Indala (Extended mode;RF/32;PSK1 with RF/2;Maxblock=2;Inverse data)
-//     T5567WriteBlock(0x603E1042,0);
+       //      T5567WriteBlock(0x603E1042,0);
 
        DbpString("DONE!");
-
 }      
 
 void CopyIndala224toT55x7(int uid1, int uid2, int uid3, int uid4, int uid5, int uid6, int uid7)
 {
-
        //Program the 7 data blocks for supplied 224bit UID
        // and the block 0 for Indala224 format
        T55xxWriteBlock(uid1,1,0,0);
@@ -1290,171 +1570,173 @@ void CopyIndala224toT55x7(int uid1, int uid2, int uid3, int uid4, int uid5, int
                        7 << T55x7_MAXBLOCK_SHIFT,
                        0,0,0);
        //Alternative config for Indala (Extended mode;RF/32;PSK1 with RF/2;Maxblock=7;Inverse data)
-//     T5567WriteBlock(0x603E10E2,0);
+       //      T5567WriteBlock(0x603E10E2,0);
 
        DbpString("DONE!");
-
 }
 
+
 #define abs(x) ( ((x)<0) ? -(x) : (x) )
 #define max(x,y) ( x<y ? y:x)
 
 int DemodPCF7931(uint8_t **outBlocks) {
-  uint8_t BitStream[256];
-  uint8_t Blocks[8][16];
-  uint8_t *GraphBuffer = (uint8_t *)BigBuf;
-  int GraphTraceLen = sizeof(BigBuf);
-  int i, j, lastval, bitidx, half_switch;
-  int clock = 64;
-  int tolerance = clock / 8;
-  int pmc, block_done;
-  int lc, warnings = 0;
-  int num_blocks = 0;
-  int lmin=128, lmax=128;
-  uint8_t dir;
-
-  AcquireRawAdcSamples125k(0);
-
-  lmin = 64;
-  lmax = 192;
-
-  i = 2;
-
-  /* Find first local max/min */
-  if(GraphBuffer[1] > GraphBuffer[0]) {
+       uint8_t BitStream[256];
+       uint8_t Blocks[8][16];
+       uint8_t *GraphBuffer = (uint8_t *)BigBuf;
+       int GraphTraceLen = sizeof(BigBuf);
+       int i, j, lastval, bitidx, half_switch;
+       int clock = 64;
+       int tolerance = clock / 8;
+       int pmc, block_done;
+       int lc, warnings = 0;
+       int num_blocks = 0;
+       int lmin=128, lmax=128;
+       uint8_t dir;
+       
+       AcquireRawAdcSamples125k(0);
+       
+       lmin = 64;
+       lmax = 192;
+       
+       i = 2;
+       
+       /* Find first local max/min */
+       if(GraphBuffer[1] > GraphBuffer[0]) {
     while(i < GraphTraceLen) {
       if( !(GraphBuffer[i] > GraphBuffer[i-1]) && GraphBuffer[i] > lmax)
-       break;
+        break;
       i++;
     }
     dir = 0;
-  }
-  else {
+       }
+       else {
     while(i < GraphTraceLen) {
       if( !(GraphBuffer[i] < GraphBuffer[i-1]) && GraphBuffer[i] < lmin)
-       break;
+        break;
       i++;
     }
     dir = 1;
-  }
-  
-  lastval = i++;
-  half_switch = 0;
-  pmc = 0;
-  block_done = 0;
-  
-  for (bitidx = 0; i < GraphTraceLen; i++)
-    {
-      if ( (GraphBuffer[i-1] > GraphBuffer[i] && dir == 1 && GraphBuffer[i] > lmax) || (GraphBuffer[i-1] < GraphBuffer[i] && dir == 0 && GraphBuffer[i] < lmin))
+       }
+       
+       lastval = i++;
+       half_switch = 0;
+       pmc = 0;
+       block_done = 0;
+       
+       for (bitidx = 0; i < GraphTraceLen; i++)
        {
-         lc = i - lastval;
-         lastval = i;
-         
-         // Switch depending on lc length:
-         // Tolerance is 1/8 of clock rate (arbitrary)
-         if (abs(lc-clock/4) < tolerance) {
-           // 16T0
-           if((i - pmc) == lc) { /* 16T0 was previous one */
-             /* It's a PMC ! */
-             i += (128+127+16+32+33+16)-1;
-             lastval = i;
-             pmc = 0;
-             block_done = 1;
-           }
-           else {
-             pmc = i;
-           }
-         } else if (abs(lc-clock/2) < tolerance) {
-           // 32TO
-           if((i - pmc) == lc) { /* 16T0 was previous one */
-             /* It's a PMC ! */
-             i += (128+127+16+32+33)-1;
-             lastval = i;
-             pmc = 0;
-             block_done = 1;
-           }
-           else if(half_switch == 1) {
-             BitStream[bitidx++] = 0;
-             half_switch = 0;
-           }
-           else
-             half_switch++;
-         } else if (abs(lc-clock) < tolerance) {
-           // 64TO
-           BitStream[bitidx++] = 1;
-         } else {
-           // Error
-           warnings++;
-           if (warnings > 10)
+    if ( (GraphBuffer[i-1] > GraphBuffer[i] && dir == 1 && GraphBuffer[i] > lmax) || (GraphBuffer[i-1] < GraphBuffer[i] && dir == 0 && GraphBuffer[i] < lmin))
+    {
+      lc = i - lastval;
+      lastval = i;
+      
+      // Switch depending on lc length:
+      // Tolerance is 1/8 of clock rate (arbitrary)
+      if (abs(lc-clock/4) < tolerance) {
+        // 16T0
+        if((i - pmc) == lc) { /* 16T0 was previous one */
+          /* It's a PMC ! */
+          i += (128+127+16+32+33+16)-1;
+          lastval = i;
+          pmc = 0;
+          block_done = 1;
+        }
+        else {
+          pmc = i;
+        }
+      } else if (abs(lc-clock/2) < tolerance) {
+        // 32TO
+        if((i - pmc) == lc) { /* 16T0 was previous one */
+          /* It's a PMC ! */
+          i += (128+127+16+32+33)-1;
+          lastval = i;
+          pmc = 0;
+          block_done = 1;
+        }
+        else if(half_switch == 1) {
+          BitStream[bitidx++] = 0;
+          half_switch = 0;
+        }
+        else
+          half_switch++;
+      } else if (abs(lc-clock) < tolerance) {
+        // 64TO
+        BitStream[bitidx++] = 1;
+      } else {
+        // Error
+        warnings++;
+        if (warnings > 10)
+        {
+          Dbprintf("Error: too many detection errors, aborting.");
+          return 0;
+        }
+      }
+      
+      if(block_done == 1) {
+        if(bitidx == 128) {
+          for(j=0; j<16; j++) {
+            Blocks[num_blocks][j] = 128*BitStream[j*8+7]+
+            64*BitStream[j*8+6]+
+            32*BitStream[j*8+5]+
+            16*BitStream[j*8+4]+
+            8*BitStream[j*8+3]+
+            4*BitStream[j*8+2]+
+            2*BitStream[j*8+1]+
+            BitStream[j*8];
+          }
+          num_blocks++;
+        }
+        bitidx = 0;
+        block_done = 0;
+        half_switch = 0;
+      }
+             if(i < GraphTraceLen)
              {
-               Dbprintf("Error: too many detection errors, aborting.");
-               return 0;
-             }
-         }
-         
-         if(block_done == 1) {
-           if(bitidx == 128) {
-             for(j=0; j<16; j++) {
-               Blocks[num_blocks][j] = 128*BitStream[j*8+7]+
-                 64*BitStream[j*8+6]+
-                 32*BitStream[j*8+5]+
-                 16*BitStream[j*8+4]+
-                 8*BitStream[j*8+3]+
-                 4*BitStream[j*8+2]+
-                 2*BitStream[j*8+1]+
-                 BitStream[j*8];
-             }
-             num_blocks++;
+      if (GraphBuffer[i-1] > GraphBuffer[i]) dir=0;
+      else dir = 1;
+    }
            }
-           bitidx = 0;
-           block_done = 0;
-           half_switch = 0;
-         }
-         if (GraphBuffer[i-1] > GraphBuffer[i]) dir=0;
-         else dir = 1;
+    if(bitidx==255)
+      bitidx=0;
+    warnings = 0;
+    if(num_blocks == 4) break;
        }
-      if(bitidx==255)
-       bitidx=0;
-      warnings = 0;
-      if(num_blocks == 4) break;
-    }
-  memcpy(outBlocks, Blocks, 16*num_blocks);
-  return num_blocks;
+       memcpy(outBlocks, Blocks, 16*num_blocks);
+       return num_blocks;
 }
 
 int IsBlock0PCF7931(uint8_t *Block) {
-  // Assume RFU means 0 :)
-  if((memcmp(Block, "\x00\x00\x00\x00\x00\x00\x00\x01", 8) == 0) && memcmp(Block+9, "\x00\x00\x00\x00\x00\x00\x00", 7) == 0) // PAC enabled
+       // Assume RFU means 0 :)
+       if((memcmp(Block, "\x00\x00\x00\x00\x00\x00\x00\x01", 8) == 0) && memcmp(Block+9, "\x00\x00\x00\x00\x00\x00\x00", 7) == 0) // PAC enabled
     return 1;
-  if((memcmp(Block+9, "\x00\x00\x00\x00\x00\x00\x00", 7) == 0) && Block[7] == 0) // PAC disabled, can it *really* happen ?
+       if((memcmp(Block+9, "\x00\x00\x00\x00\x00\x00\x00", 7) == 0) && Block[7] == 0) // PAC disabled, can it *really* happen ?
     return 1;
-  return 0;
+       return 0;
 }
 
 int IsBlock1PCF7931(uint8_t *Block) {
-  // Assume RFU means 0 :)
-  if(Block[10] == 0 && Block[11] == 0 && Block[12] == 0 && Block[13] == 0)
+       // Assume RFU means 0 :)
+       if(Block[10] == 0 && Block[11] == 0 && Block[12] == 0 && Block[13] == 0)
     if((Block[14] & 0x7f) <= 9 && Block[15] <= 9)
       return 1;
-
-  return 0;
+       
+       return 0;
 }
-
 #define ALLOC 16
 
 void ReadPCF7931() {
-  uint8_t Blocks[8][17];
-  uint8_t tmpBlocks[4][16];
-  int i, j, ind, ind2, n;
-  int num_blocks = 0;
-  int max_blocks = 8;
-  int ident = 0;
-  int error = 0;
-  int tries = 0;
-  
-  memset(Blocks, 0, 8*17*sizeof(uint8_t));
-
-  do {
+       uint8_t Blocks[8][17];
+       uint8_t tmpBlocks[4][16];
+       int i, j, ind, ind2, n;
+       int num_blocks = 0;
+       int max_blocks = 8;
+       int ident = 0;
+       int error = 0;
+       int tries = 0;
+       
+       memset(Blocks, 0, 8*17*sizeof(uint8_t));
+       
+       do {
     memset(tmpBlocks, 0, 4*16*sizeof(uint8_t));
     n = DemodPCF7931((uint8_t**)tmpBlocks);
     if(!n)
@@ -1471,85 +1753,312 @@ void ReadPCF7931() {
     
     for(i=0; i<n; i++)
       Dbprintf("(dbg) %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x",
-              tmpBlocks[i][0], tmpBlocks[i][1], tmpBlocks[i][2], tmpBlocks[i][3], tmpBlocks[i][4], tmpBlocks[i][5], tmpBlocks[i][6], tmpBlocks[i][7], 
-              tmpBlocks[i][8], tmpBlocks[i][9], tmpBlocks[i][10], tmpBlocks[i][11], tmpBlocks[i][12], tmpBlocks[i][13], tmpBlocks[i][14], tmpBlocks[i][15]);
+               tmpBlocks[i][0], tmpBlocks[i][1], tmpBlocks[i][2], tmpBlocks[i][3], tmpBlocks[i][4], tmpBlocks[i][5], tmpBlocks[i][6], tmpBlocks[i][7],
+               tmpBlocks[i][8], tmpBlocks[i][9], tmpBlocks[i][10], tmpBlocks[i][11], tmpBlocks[i][12], tmpBlocks[i][13], tmpBlocks[i][14], tmpBlocks[i][15]);
     if(!ident) {
       for(i=0; i<n; i++) {
-       if(IsBlock0PCF7931(tmpBlocks[i])) {
-         // Found block 0 ?
-         if(i < n-1 && IsBlock1PCF7931(tmpBlocks[i+1])) {
-           // Found block 1!
-           // \o/
-           ident = 1;
-           memcpy(Blocks[0], tmpBlocks[i], 16);
-           Blocks[0][ALLOC] = 1;
-           memcpy(Blocks[1], tmpBlocks[i+1], 16);
-           Blocks[1][ALLOC] = 1;
-           max_blocks = max((Blocks[1][14] & 0x7f), Blocks[1][15]) + 1;
-           // Debug print
-           Dbprintf("(dbg) Max blocks: %d", max_blocks);
-           num_blocks = 2;
-           // Handle following blocks
-           for(j=i+2, ind2=2; j!=i; j++, ind2++, num_blocks++) {
-             if(j==n) j=0;
-             if(j==i) break;
-             memcpy(Blocks[ind2], tmpBlocks[j], 16);
-             Blocks[ind2][ALLOC] = 1;
-           }
-           break;
-         }
-       }
+        if(IsBlock0PCF7931(tmpBlocks[i])) {
+          // Found block 0 ?
+          if(i < n-1 && IsBlock1PCF7931(tmpBlocks[i+1])) {
+            // Found block 1!
+            // \o/
+            ident = 1;
+            memcpy(Blocks[0], tmpBlocks[i], 16);
+            Blocks[0][ALLOC] = 1;
+            memcpy(Blocks[1], tmpBlocks[i+1], 16);
+            Blocks[1][ALLOC] = 1;
+            max_blocks = max((Blocks[1][14] & 0x7f), Blocks[1][15]) + 1;
+            // Debug print
+            Dbprintf("(dbg) Max blocks: %d", max_blocks);
+            num_blocks = 2;
+            // Handle following blocks
+            for(j=i+2, ind2=2; j!=i; j++, ind2++, num_blocks++) {
+              if(j==n) j=0;
+              if(j==i) break;
+              memcpy(Blocks[ind2], tmpBlocks[j], 16);
+              Blocks[ind2][ALLOC] = 1;
+            }
+            break;
+          }
+        }
       }
     }
     else {
       for(i=0; i<n; i++) { // Look for identical block in known blocks
-       if(memcmp(tmpBlocks[i], "\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00", 16)) { // Block is not full of 00
-         for(j=0; j<max_blocks; j++) {
-           if(Blocks[j][ALLOC] == 1 && !memcmp(tmpBlocks[i], Blocks[j], 16)) {
-             // Found an identical block
-             for(ind=i-1,ind2=j-1; ind >= 0; ind--,ind2--) {
-               if(ind2 < 0)
-                 ind2 = max_blocks;
-               if(!Blocks[ind2][ALLOC]) { // Block ind2 not already found
-                 // Dbprintf("Tmp %d -> Block %d", ind, ind2);
-                 memcpy(Blocks[ind2], tmpBlocks[ind], 16);
-                 Blocks[ind2][ALLOC] = 1;
-                 num_blocks++;
-                 if(num_blocks == max_blocks) goto end;
-               }
-             }
-             for(ind=i+1,ind2=j+1; ind < n; ind++,ind2++) {
-               if(ind2 > max_blocks)
-                 ind2 = 0;
-               if(!Blocks[ind2][ALLOC]) { // Block ind2 not already found
-                 // Dbprintf("Tmp %d -> Block %d", ind, ind2);
-                 memcpy(Blocks[ind2], tmpBlocks[ind], 16);
-                 Blocks[ind2][ALLOC] = 1;
-                 num_blocks++;
-                 if(num_blocks == max_blocks) goto end;
-               }
-             }
-           }
-         }
-       }
+        if(memcmp(tmpBlocks[i], "\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00", 16)) { // Block is not full of 00
+          for(j=0; j<max_blocks; j++) {
+            if(Blocks[j][ALLOC] == 1 && !memcmp(tmpBlocks[i], Blocks[j], 16)) {
+              // Found an identical block
+              for(ind=i-1,ind2=j-1; ind >= 0; ind--,ind2--) {
+                if(ind2 < 0)
+                  ind2 = max_blocks;
+                if(!Blocks[ind2][ALLOC]) { // Block ind2 not already found
+                  // Dbprintf("Tmp %d -> Block %d", ind, ind2);
+                  memcpy(Blocks[ind2], tmpBlocks[ind], 16);
+                  Blocks[ind2][ALLOC] = 1;
+                  num_blocks++;
+                  if(num_blocks == max_blocks) goto end;
+                }
+              }
+              for(ind=i+1,ind2=j+1; ind < n; ind++,ind2++) {
+                if(ind2 > max_blocks)
+                  ind2 = 0;
+                if(!Blocks[ind2][ALLOC]) { // Block ind2 not already found
+                  // Dbprintf("Tmp %d -> Block %d", ind, ind2);
+                  memcpy(Blocks[ind2], tmpBlocks[ind], 16);
+                  Blocks[ind2][ALLOC] = 1;
+                  num_blocks++;
+                  if(num_blocks == max_blocks) goto end;
+                }
+              }
+            }
+          }
+        }
       }
     }
     tries++;
     if (BUTTON_PRESS()) return;
-  } while (num_blocks != max_blocks);
- end:
-  Dbprintf("-----------------------------------------");
-  Dbprintf("Memory content:");
-  Dbprintf("-----------------------------------------");
-  for(i=0; i<max_blocks; i++) {
+       } while (num_blocks != max_blocks);
+end:
+       Dbprintf("-----------------------------------------");
+       Dbprintf("Memory content:");
+       Dbprintf("-----------------------------------------");
+       for(i=0; i<max_blocks; i++) {
     if(Blocks[i][ALLOC]==1)
       Dbprintf("%02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x %02x",
-              Blocks[i][0], Blocks[i][1], Blocks[i][2], Blocks[i][3], Blocks[i][4], Blocks[i][5], Blocks[i][6], Blocks[i][7], 
-              Blocks[i][8], Blocks[i][9], Blocks[i][10], Blocks[i][11], Blocks[i][12], Blocks[i][13], Blocks[i][14], Blocks[i][15]);
+               Blocks[i][0], Blocks[i][1], Blocks[i][2], Blocks[i][3], Blocks[i][4], Blocks[i][5], Blocks[i][6], Blocks[i][7],
+               Blocks[i][8], Blocks[i][9], Blocks[i][10], Blocks[i][11], Blocks[i][12], Blocks[i][13], Blocks[i][14], Blocks[i][15]);
     else
       Dbprintf("<missing block %d>", i);
+       }
+       Dbprintf("-----------------------------------------");
+       
+       return ;
+}
+
+
+//-----------------------------------
+// EM4469 / EM4305 routines
+//-----------------------------------
+#define FWD_CMD_LOGIN 0xC //including the even parity, binary mirrored
+#define FWD_CMD_WRITE 0xA
+#define FWD_CMD_READ 0x9
+#define FWD_CMD_DISABLE 0x5
+
+
+uint8_t forwardLink_data[64]; //array of forwarded bits
+uint8_t * forward_ptr; //ptr for forward message preparation
+uint8_t fwd_bit_sz; //forwardlink bit counter
+uint8_t * fwd_write_ptr; //forwardlink bit pointer
+
+//====================================================================
+// prepares command bits
+// see EM4469 spec
+//====================================================================
+//--------------------------------------------------------------------
+uint8_t Prepare_Cmd( uint8_t cmd ) {
+  //--------------------------------------------------------------------
+  
+  *forward_ptr++ = 0; //start bit
+  *forward_ptr++ = 0; //second pause for 4050 code
+  
+  *forward_ptr++ = cmd;
+  cmd >>= 1;
+  *forward_ptr++ = cmd;
+  cmd >>= 1;
+  *forward_ptr++ = cmd;
+  cmd >>= 1;
+  *forward_ptr++ = cmd;
+  
+  return 6; //return number of emited bits
+}
+
+//====================================================================
+// prepares address bits
+// see EM4469 spec
+//====================================================================
+
+//--------------------------------------------------------------------
+uint8_t Prepare_Addr( uint8_t addr ) {
+  //--------------------------------------------------------------------
+  
+  register uint8_t line_parity;
+  
+  uint8_t i;
+  line_parity = 0;
+  for(i=0;i<6;i++) {
+    *forward_ptr++ = addr;
+    line_parity ^= addr;
+    addr >>= 1;
+  }
+  
+  *forward_ptr++ = (line_parity & 1);
+  
+  return 7; //return number of emited bits
+}
+
+//====================================================================
+// prepares data bits intreleaved with parity bits
+// see EM4469 spec
+//====================================================================
+
+//--------------------------------------------------------------------
+uint8_t Prepare_Data( uint16_t data_low, uint16_t data_hi) {
+  //--------------------------------------------------------------------
+  
+  register uint8_t line_parity;
+  register uint8_t column_parity;
+  register uint8_t i, j;
+  register uint16_t data;
+  
+  data = data_low;
+  column_parity = 0;
+  
+  for(i=0; i<4; i++) {
+    line_parity = 0;
+    for(j=0; j<8; j++) {
+      line_parity ^= data;
+      column_parity ^= (data & 1) << j;
+      *forward_ptr++ = data;
+      data >>= 1;
+    }
+    *forward_ptr++ = line_parity;
+    if(i == 1)
+      data = data_hi;
   }
-  Dbprintf("-----------------------------------------");
   
-  return ;
+  for(j=0; j<8; j++) {
+    *forward_ptr++ = column_parity;
+    column_parity >>= 1;
+  }
+  *forward_ptr = 0;
+  
+  return 45; //return number of emited bits
+}
+
+//====================================================================
+// Forward Link send function
+// Requires: forwarLink_data filled with valid bits (1 bit per byte)
+// fwd_bit_count set with number of bits to be sent
+//====================================================================
+void SendForward(uint8_t fwd_bit_count) {
+  
+  fwd_write_ptr = forwardLink_data;
+  fwd_bit_sz = fwd_bit_count;
+  
+  LED_D_ON();
+  
+  //Field on
+  FpgaDownloadAndGo(FPGA_BITSTREAM_LF);
+  FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+  FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);
+  
+  // Give it a bit of time for the resonant antenna to settle.
+  // And for the tag to fully power up
+  SpinDelay(150);
+  
+  // force 1st mod pulse (start gap must be longer for 4305)
+  fwd_bit_sz--; //prepare next bit modulation
+  fwd_write_ptr++;
+  FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
+  SpinDelayUs(55*8); //55 cycles off (8us each)for 4305
+  FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+  FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);//field on
+  SpinDelayUs(16*8); //16 cycles on (8us each)
+  
+  // now start writting
+  while(fwd_bit_sz-- > 0) { //prepare next bit modulation
+    if(((*fwd_write_ptr++) & 1) == 1)
+      SpinDelayUs(32*8); //32 cycles at 125Khz (8us each)
+    else {
+      //These timings work for 4469/4269/4305 (with the 55*8 above)
+      FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
+      SpinDelayUs(23*8); //16-4 cycles off (8us each)
+      FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz
+      FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD);//field on
+      SpinDelayUs(9*8); //16 cycles on (8us each)
+    }
+  }
+}
+
+
+void EM4xLogin(uint32_t Password) {
+  
+  uint8_t fwd_bit_count;
+  
+  forward_ptr = forwardLink_data;
+  fwd_bit_count = Prepare_Cmd( FWD_CMD_LOGIN );
+  fwd_bit_count += Prepare_Data( Password&0xFFFF, Password>>16 );
+  
+  SendForward(fwd_bit_count);
+  
+  //Wait for command to complete
+  SpinDelay(20);
+  
+}
+
+void EM4xReadWord(uint8_t Address, uint32_t Pwd, uint8_t PwdMode) {
+  
+       uint8_t *dest =  get_bigbufptr_recvrespbuf();
+       uint16_t bufferlength = 12000;
+       uint32_t i = 0;
+
+       // Clear destination buffer before sending the command  0x80 = average.
+       memset(dest, 0x80, bufferlength);
+       
+       uint8_t fwd_bit_count;
+  
+       //If password mode do login
+       if (PwdMode == 1) EM4xLogin(Pwd);
+  
+       forward_ptr = forwardLink_data;
+       fwd_bit_count = Prepare_Cmd( FWD_CMD_READ );
+       fwd_bit_count += Prepare_Addr( Address );
+  
+       // Connect the A/D to the peak-detected low-frequency path.
+       SetAdcMuxFor(GPIO_MUXSEL_LOPKD);
+       // Now set up the SSC to get the ADC samples that are now streaming at us.
+       FpgaSetupSsc();
+  
+       SendForward(fwd_bit_count);
+  
+       // // Turn field on to read the response
+       // TurnReadLFOn();
+       
+       // Now do the acquisition
+       i = 0;
+       for(;;) {
+               if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
+                       AT91C_BASE_SSC->SSC_THR = 0x43;
+               }
+               if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_RXRDY) {
+                       dest[i] = (uint8_t)AT91C_BASE_SSC->SSC_RHR;
+                       ++i;
+                       if (i >= bufferlength) break;
+               }
+       }
+  
+       cmd_send(CMD_ACK,0,0,0,0,0);
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
+       LED_D_OFF();
+}
+
+void EM4xWriteWord(uint32_t Data, uint8_t Address, uint32_t Pwd, uint8_t PwdMode) {
+  
+  uint8_t fwd_bit_count;
+  
+  //If password mode do login
+  if (PwdMode == 1) EM4xLogin(Pwd);
+  
+  forward_ptr = forwardLink_data;
+  fwd_bit_count = Prepare_Cmd( FWD_CMD_WRITE );
+  fwd_bit_count += Prepare_Addr( Address );
+  fwd_bit_count += Prepare_Data( Data&0xFFFF, Data>>16 );
+  
+  SendForward(fwd_bit_count);
+  
+  //Wait for write to complete
+  SpinDelay(20);
+  FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); // field off
+  LED_D_OFF();
 }
Impressum, Datenschutz