]> git.zerfleddert.de Git - proxmark3-svn/commitdiff
Added LF frequency adjustments from d18c7db, cleaned up code,
authoredouard@lafargue.name <edouard@lafargue.name@ef4ab9da-24cd-11de-8aaa-f3a34680c41f>
Wed, 15 Apr 2009 08:09:06 +0000 (08:09 +0000)
committeredouard@lafargue.name <edouard@lafargue.name@ef4ab9da-24cd-11de-8aaa-f3a34680c41f>
Wed, 15 Apr 2009 08:09:06 +0000 (08:09 +0000)
typo fixes in iso14443a code, added the missing "tools" directory,
added initial elements for online/offline detection for commands.

16 files changed:
armsrc/appmain.c
armsrc/apps.h
armsrc/fpga.c
armsrc/fpgaimg.c
armsrc/iso14443.c
armsrc/iso14443a.c
doc/CHANGES.TXT
fpga/fpga.v
fpga/lo_read.v
fpga/testbed_lo_read.v
include/usb_cmd.h
tools/merge-srec.pl [new file with mode: 0644]
tools/rbt2c.pl [new file with mode: 0644]
tools/srecswap.pl [new file with mode: 0644]
winsrc/command.cpp
winsrc/gui.cpp

index 303127548619488912b0eabd96cb9ac75582b3d2..bb733feff6cf8b5094a5e6cb29d339370b78bd6b 100644 (file)
@@ -87,8 +87,10 @@ void AcquireRawAdcSamples125k(BOOL at134khz)
        memset(dest,0,n);\r
 \r
        if(at134khz) {\r
+               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz\r
                FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER | FPGA_LF_READER_USE_134_KHZ);\r
        } else {\r
+               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz\r
                FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER | FPGA_LF_READER_USE_125_KHZ);\r
        }\r
 \r
@@ -121,7 +123,7 @@ void AcquireRawAdcSamples125k(BOOL at134khz)
 \r
 //-----------------------------------------------------------------------------\r
 // Read an ADC channel and block till it completes, then return the result\r
-// in ADC units (0 to 1023). Also a routine to average sixteen samples and\r
+// in ADC units (0 to 1023). Also a routine to average 32 samples and\r
 // return that.\r
 //-----------------------------------------------------------------------------\r
 static int ReadAdc(int ch)\r
@@ -152,6 +154,29 @@ static int AvgAdc(int ch)
 \r
        return (a + 15) >> 5;\r
 }\r
+
+/*
+ * Sweeps the useful LF range of the proxmark from
+ * 46.8kHz (divisor=255) to 600kHz (divisor=19) and
+ * reads the voltage in the antenna: the result is a graph
+ * which should clearly show the resonating frequency of your
+ * LF antenna ( hopefully around 90 if it is tuned to 125kHz!)
+ */\r
+void SweepLFrange()\r
+{\r
+       BYTE *dest = (BYTE *)BigBuf;\r
+       int i;\r
+\r
+       // clear buffer\r
+       memset(BigBuf,0,sizeof(BigBuf));\r
+\r
+       FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER);\r
+       for (i=255; i>19; i--) {\r
+               FpgaSendCommand(FPGA_CMD_SET_DIVISOR, i);\r
+               SpinDelay(20);\r
+               dest[i] = (137500 * AvgAdc(4)) >> 18;\r
+       }\r
+}\r
 \r
 void MeasureAntennaTuning(void)\r
 {\r
@@ -164,6 +189,7 @@ void MeasureAntennaTuning(void)
        UsbCommand c;\r
 \r
        // Let the FPGA drive the low-frequency antenna around 125 kHz.\r
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz\r
        FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER | FPGA_LF_READER_USE_125_KHZ);\r
        SpinDelay(20);\r
        vLf125 = AvgAdc(4);\r
@@ -172,6 +198,7 @@ void MeasureAntennaTuning(void)
        vLf125 = (137500 * vLf125) >> 10;\r
 \r
        // Let the FPGA drive the low-frequency antenna around 134 kHz.\r
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 88); //134.8Khz\r
        FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER | FPGA_LF_READER_USE_134_KHZ);\r
        SpinDelay(20);\r
        vLf134 = AvgAdc(4);\r
@@ -207,7 +234,7 @@ void SimulateTagLowFrequency(int period)
        PIO_OUTPUT_DISABLE = (1 << GPIO_SSC_CLK);\r
 \r
 #define SHORT_COIL()   LOW(GPIO_SSC_DOUT)\r
-#define OPEN_COIL()            HIGH(GPIO_SSC_DOUT)\r
+#define OPEN_COIL()    HIGH(GPIO_SSC_DOUT)\r
 \r
        i = 0;\r
        for(;;) {\r
@@ -345,6 +372,7 @@ static void CmdHIDdemodFSK(void)
        int m=0, n=0, i=0, idx=0, found=0, lastval=0;\r
        DWORD hi=0, lo=0;\r
 \r
+       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, 95); //125Khz\r
        FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_READER | FPGA_LF_READER_USE_125_KHZ);\r
 \r
        // Connect the A/D to the peak-detected low-frequency path.\r
@@ -448,7 +476,7 @@ static void CmdHIDdemodFSK(void)
                                                dest[i++]=dest[idx-1];\r
                                                dest[i++]=dest[idx-1];\r
                                                break;\r
-                                       // When a logic 0 is immediately followed by the start of the next transmisson \r
+                                       // When a logic 0 is immediately followed by the start of the next transmisson\r
                                        // (special pattern) a pattern of 4 bit duration lengths is created.\r
                                        case 4:\r
                                                dest[i++]=dest[idx-1];\r
@@ -573,20 +601,19 @@ void UsbPacketReceived(BYTE *packet, int len)
                        break;\r
 \r
                case CMD_READER_ISO_15693:\r
-                       ReaderIso15693(c->ext1); \r
+                       ReaderIso15693(c->ext1);\r
                        break;\r
 \r
                case CMD_SIMTAG_ISO_15693:\r
-                       SimTagIso15693(c->ext1); \r
+                       SimTagIso15693(c->ext1);\r
                        break;\r
 \r
-\r
                case CMD_ACQUIRE_RAW_ADC_SAMPLES_ISO_14443:\r
                        AcquireRawAdcSamplesIso14443(c->ext1);\r
                        break;\r
 \r
                case CMD_READER_ISO_14443a:\r
-                       ReaderIso14443a(c->ext1); \r
+                       ReaderIso14443a(c->ext1);\r
                        break;\r
 \r
                case CMD_SNOOP_ISO_14443:\r
@@ -656,6 +683,14 @@ void UsbPacketReceived(BYTE *packet, int len)
                        LCDReset();\r
                        break;\r
 \r
+               case CMD_SWEEP_LF:\r
+                       SweepLFrange();\r
+                       break;\r
+\r
+               case CMD_SET_LF_DIVISOR:\r
+                       FpgaSendCommand(FPGA_CMD_SET_DIVISOR, c->ext1);\r
+                       break;\r
+\r
                case CMD_LCD:\r
                        LCDSend(c->ext1);\r
                        break;\r
index e09c8357d0c296c6919693fb256f928e1a3420cb..84dd8fe26cac85bdaae61962a58897ccbf0c2422 100644 (file)
@@ -19,6 +19,7 @@ extern BYTE ToSend[];
 extern DWORD BigBuf[];\r
 \r
 /// fpga.c\r
+void FpgaSendCommand(WORD cmd, WORD v);\r
 void FpgaWriteConfWord(BYTE v);\r
 void FpgaDownloadAndGo(void);\r
 void FpgaSetupSsc(void);\r
@@ -26,6 +27,9 @@ void SetupSpi(int mode);
 void FpgaSetupSscDma(BYTE *buf, int len);\r
 void SetAdcMuxFor(int whichGpio);\r
 \r
+// Definitions for the FPGA commands.\r
+#define FPGA_CMD_SET_CONFREG                           (1<<12)\r
+#define FPGA_CMD_SET_DIVISOR                           (2<<12)\r
 // Definitions for the FPGA configuration word.\r
 #define FPGA_MAJOR_MODE_LF_READER                      (0<<5)\r
 #define FPGA_MAJOR_MODE_LF_SIMULATOR           (1<<5)\r
index 2bcade280bd139993919196a82f359e5ddc3aa99..059fc82a65e62a4619ed9c19a633e9299ad2707e 100644 (file)
@@ -53,7 +53,7 @@ void SetupSpi(int mode)
                                ( 1 << 24)      |       // Delay between Consecutive Transfers (32 MCK periods)\r
                                ( 1 << 16)      |       // Delay Before SPCK (1 MCK period)\r
                                ( 6 << 8)       |       // Serial Clock Baud Rate (baudrate = MCK/6 = 24Mhz/6 = 4M baud\r
-                               ( 0 << 4)       |       // Bits per Transfer (8 bits)\r
+                               ( 8 << 4)       |       // Bits per Transfer (16 bits)\r
                                ( 0 << 3)       |       // Chip Select inactive after transfer\r
                                ( 1 << 1)       |       // Clock Phase data captured on leading edge, changes on following edge\r
                                ( 0 << 0);              // Clock Polarity inactive state is logic 0\r
@@ -185,15 +185,25 @@ void FpgaDownloadAndGo(void)
        LED_D_OFF();\r
 }\r
 \r
+//-----------------------------------------------------------------------------\r
+// Send a 16 bit command/data pair to the FPGA.\r
+// The bit format is:  C3 C2 C1 C0 D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0\r
+// where C is the 4 bit command and D is the 12 bit data\r
+//-----------------------------------------------------------------------------\r
+void FpgaSendCommand(WORD cmd, WORD v)\r
+{\r
+       SetupSpi(SPI_FPGA_MODE);\r
+       while ((SPI_STATUS & SPI_STATUS_TX_EMPTY) == 0);                // wait for the transfer to complete\r
+       SPI_TX_DATA = SPI_CONTROL_LAST_TRANSFER | cmd | v;              // send the data\r
+}\r
 //-----------------------------------------------------------------------------\r
 // Write the FPGA setup word (that determines what mode the logic is in, read\r
-// vs. clone vs. etc.).\r
+// vs. clone vs. etc.). This is now a special case of FpgaSendCommand() to\r
+// avoid changing this function's occurence everywhere in the source code.\r
 //-----------------------------------------------------------------------------\r
 void FpgaWriteConfWord(BYTE v)\r
 {\r
-       SetupSpi(SPI_FPGA_MODE);\r
-       while ((SPI_STATUS & SPI_STATUS_TX_EMPTY) == 0);        // wait for the transfer to complete\r
-       SPI_TX_DATA = SPI_CONTROL_LAST_TRANSFER | v;            // send the data\r
+       FpgaSendCommand(FPGA_CMD_SET_CONFREG, v);\r
 }\r
 \r
 //-----------------------------------------------------------------------------\r
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..7e01dc19fc5c34fabc237068e0a0cb2fa328f80f 100644 (file)
+// Generated by rbt2c.pl, do not edit!
+
+//// Xilinx ASCII Bitstream
+//// Created by Bitstream K.31
+//// Design name:      fpga-placed.ncd
+//// Architecture:     spartan2
+//// Part:             2s30vq100
+//// Date:             Thu Apr 09 16:25:33 2009
+//// Bits:             336768
+
+#include <proxmark3.h>
+
+const DWORD FpgaImage[] = {
+       0xffffffff,
+       0xaa995566,
+       0x30008001,
+       0x00000007,
+       0x30016001,
+       0x00000008,
+       0x30012001,
+       0x00803f2d,
+       0x3000c001,
+       0x00000000,
+       0x30008001,
+       0x00000009,
+       0x30002001,
+       0x00000000,
+       0x30008001,
+       0x00000001,
+       0x30004000,
+       0x50002463,
+       0x00121000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000480,
+       0x00000000,
+       0x00121000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000480,
+       0x00000000,
+       0x10030000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x001000c0,
+       0x00000000,
+       0x00020000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000080,
+       0x00000000,
+       0x00120000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000480,
+       0x00000000,
+       0x00120000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00100480,
+       0x00000000,
+       0x00120000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00100480,
+       0x00000000,
+       0xef100060,
+       0x00180006,
+       0x00018000,
+       0x60001800,
+       0x060001a8,
+       0x0062005a,
+       0x80166001,
+       0xd82fc400,
+       0x00000000,
+       0xc005fd00,
+       0xfe203320,
+       0x0fc003f2,
+       0x40fc8033,
+       0x602cc203,
+       0x3c00fc40,
+       0x37c02ce0,
+       0x03300070,
+       0x00000000,
+       0x8010ed40,
+       0xbbc12220,
+       0x0b8802e0,
+       0x80bb000a,
+       0x4008a402,
+       0x3cc0b8e0,
+       0x23d808e6,
+       0x0be00430,
+       0x00000000,
+       0x8805cc90,
+       0xb2902000,
+       0x0b0012c0,
+       0x04b32880,
+       0x40080386,
+       0x0c24b064,
+       0x28d20b24,
+       0x82230170,
+       0x00000000,
+       0xc015ac01,
+       0xbb812205,
+       0x0b8022e2,
+       0x29bb8022,
+       0x08889106,
+       0x2c00b802,
+       0x28c00ba0,
+       0x02f00460,
+       0x00000000,
+       0x0015ec00,
+       0xf80032b0,
+       0x8f9603e2,
+       0x00f9c030,
+       0x720c9483,
+       0x2c00f8f0,
+       0x3ec08fa0,
+       0x23100470,
+       0x00000000,
+       0xe001bc00,
+       0xff003fa1,
+       0x8ff403f8,
+       0x00fd003f,
+       0xe00ff003,
+       0xfc00fc80,
+       0xb7c00ce0,
+       0x03f80060,
+       0x00000000,
+       0x4010ac00,
+       0xf0103e86,
+       0x4e9201ed,
+       0x05f940ba,
+       0x400c20c3,
+       0xec00c240,
+       0x32c00e20,
+       0x23100420,
+       0x00000000,
+       0xc8053c00,
+       0xbbc42ec0,
+       0x089c80ed,
+       0x14b9412a,
+       0x8008b602,
+       0xfc008a00,
+       0xa3c00de0,
+       0x02320040,
+       0x00000000,
+       0xe0054c10,
+       0xb0c82cf0,
+       0x082802c8,
+       0x00b90828,
+       0x80080002,
+       0x8c008004,
+       0x20c08a20,
+       0x02380050,
+       0x00000000,
+       0x00011e04,
+       0xb4802fe0,
+       0x085812db,
+       0x40bf8029,
+       0x65085806,
+       0xde008590,
+       0x20e009e8,
+       0x02080040,
+       0x00000000,
+       0x68080c00,
+       0xf3003c10,
+       0x0c2103c8,
+       0x40b3003a,
+       0x802c0003,
+       0xac04ca10,
+       0x70c00e20,
+       0x03120200,
+       0x00000000,
+       0x401dbc04,
+       0xff003d80,
+       0x2be003fc,
+       0x40f7003f,
+       0x000fd003,
+       0xfd20df10,
+       0x3fd00f60,
+       0x03d00660,
+       0x00000000,
+       0xa805ec4c,
+       0xf8003ec0,
+       0x0ca083e2,
+       0x08cb0030,
+       0x800ca013,
+       0xee88c902,
+       0x32f00ca2,
+       0x032a0070,
+       0x00000000,
+       0x48119c00,
+       0xb4002dc0,
+       0x087002d8,
+       0x040700a1,
+       0xc0287002,
+       0xdc008500,
+       0x21c88a65,
+       0x02120460,
+       0x00000000,
+       0xc0009e80,
+       0xb7812f20,
+       0x487812fe,
+       0x00078021,
+       0xa0096802,
+       0xde038788,
+       0x28e4096a,
+       0x0a300020,
+       0x00000000,
+       0x4814cc00,
+       0xb3802cf2,
+       0x083e52cf,
+       0x008b3022,
+       0xa5093a42,
+       0xcc008300,
+       0x28c00b20,
+       0x02120430,
+       0x00000000,
+       0xe815a808,
+       0xfe803fa8,
+       0x0cac03f8,
+       0x82cec033,
+       0x800dee03,
+       0xe800ce00,
+       0xba800de0,
+       0x033a0460,
+       0x00000000,
+       0x4800e000,
+       0xf8113e10,
+       0x2f0103e0,
+       0x20f8403e,
+       0x000e8403,
+       0xe000f800,
+       0x36000e80,
+       0x03d20030,
+       0x00000000,
+       0x0810e400,
+       0xf9803e48,
+       0x0cb40366,
+       0x00c9a032,
+       0x400c9203,
+       0x2400f908,
+       0x32400f90,
+       0x03020430,
+       0x00000000,
+       0x80046400,
+       0xb9c92c60,
+       0x089c0225,
+       0x40b9c022,
+       0x40089c02,
+       0x2400b900,
+       0xa2400b10,
+       0x0a200010,
+       0x00000000,
+       0x18052400,
+       0xb9202e40,
+       0x28908265,
+       0x00ab0022,
+       0x40181002,
+       0x2400b100,
+       0x22410b90,
+       0x02060040,
+       0x00000000,
+       0x08140500,
+       0xb1002ec0,
+       0x08100205,
+       0x00b14020,
+       0x50281282,
+       0x4440b168,
+       0x20480b93,
+       0x02020100,
+       0x00000000,
+       0xb80d6200,
+       0xf8803e00,
+       0x0c880360,
+       0x00e200b2,
+       0x000ca20b,
+       0x0020f020,
+       0x320c0f02,
+       0x832e0350,
+       0x00000000,
+       0x9815e700,
+       0xfd403d40,
+       0x0fb403de,
+       0x10fd803f,
+       0x400fd282,
+       0xa400fd20,
+       0x3e4c0fd2,
+       0x03e60670,
+       0x00000000,
+       0x1805e400,
+       0xc9003e40,
+       0x0c5003e4,
+       0x00cd0033,
+       0x400cd003,
+       0x240ac900,
+       0x32400c90,
+       0x03060070,
+       0x00000000,
+       0x3810e000,
+       0x88003a00,
+       0x08800260,
+       0x0088a08a,
+       0x200a8042,
+       0x20008800,
+       0x22000880,
+       0x028e0430,
+       0x00000000,
+       0x0805c400,
+       0x01802a40,
+       0x081002c4,
+       0x04838902,
+       0x4a08100a,
+       0x04008100,
+       0x20400810,
+       0x02020170,
+       0x00000000,
+       0x1811a402,
+       0x89002a40,
+       0x28984244,
+       0x00810022,
+       0x500ab082,
+       0x24008900,
+       0x22400890,
+       0x42860460,
+       0x00000000,
+       0xa014a400,
+       0xc9003a62,
+       0x0c9c12e7,
+       0x42c98432,
+       0x400c9923,
+       0x2408c900,
+       0x32400890,
+       0x03280470,
+       0x00000000,
+       0x28008408,
+       0xfb203ac4,
+       0x0f90036c,
+       0x00f9c03e,
+       0x400f1043,
+       0xe400f190,
+       0xbe402f10,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xf8003e12,
+       0x0f880321,
+       0x20f88032,
+       0x002c8403,
+       0x0000c800,
+       0xb2000c80,
+       0x030a0420,
+       0x00000000,
+       0x28042800,
+       0xbac02ca0,
+       0x0be0822a,
+       0x21fe00a1,
+       0x8008e18a,
+       0x28008a00,
+       0x22800da0,
+       0x028a0040,
+       0x00000000,
+       0x28054c00,
+       0xb2186cf0,
+       0x0b38120f,
+       0x00b30028,
+       0x80083c02,
+       0x0c069304,
+       0x22c00830,
+       0x024a0050,
+       0x00000000,
+       0x20011cc0,
+       0x37002dc2,
+       0x0b68021c,
+       0x00a60229,
+       0x80087006,
+       0x0c809712,
+       0x21c00970,
+       0x02e80040,
+       0x00000000,
+       0x28081e00,
+       0xf7803de2,
+       0x0f78031e,
+       0x00bd803b,
+       0xa00ce803,
+       0x1e00dfa2,
+       0x30e00c3b,
+       0x036b0200,
+       0x00000000,
+       0x081dac00,
+       0xbb403e48,
+       0x8ba00be8,
+       0x00f80036,
+       0x800fa003,
+       0xec806b40,
+       0x3edc0fb2,
+       0x03820660,
+       0x00000000,
+       0x4005fe00,
+       0xffc03360,
+       0x0ce803fb,
+       0x08c780b3,
+       0xa004f803,
+       0xff24ffc4,
+       0x33f40df8,
+       0x83000070,
+       0x00000000,
+       0xa8019c00,
+       0xb7002150,
+       0x8d4202d8,
+       0x40860021,
+       0x800d7003,
+       0x9c00bf10,
+       0x21cc08f0,
+       0x0a2a0060,
+       0x00000000,
+       0x00109c00,
+       0xb50021c2,
+       0x086006d4,
+       0x008d4023,
+       0x82086002,
+       0xdc00b700,
+       0x24c01970,
+       0x02000020,
+       0x00000000,
+       0x6014ec00,
+       0xb3d020c0,
+       0x092402c2,
+       0x20801020,
+       0xb0091002,
+       0x8c10b340,
+       0x24c00830,
+       0x02080430,
+       0x00000000,
+       0xa815bc00,
+       0xfa4032a0,
+       0x0cb483ec,
+       0x22cb0033,
+       0xb00c9a03,
+       0xfc00ff10,
+       0xb7c00d70,
+       0x032a0460,
+       0x00000000,
+       0x8000ec00,
+       0xfb013ed8,
+       0x0fa401ec,
+       0x00fa003e,
+       0x800f9483,
+       0xec00fb00,
+       0x38c00fb0,
+       0x03e00030,
+       0x00000000,
+       0x0110fc00,
+       0xcb0933e8,
+       0x8fc093fc,
+       0x00fd0033,
+       0x820dc803,
+       0xfc00ff00,
+       0x3fc00cf0,
+       0x03004430,
+       0x00000000,
+       0x81046c18,
+       0x83002240,
+       0x0b8a02ef,
+       0x00b40029,
+       0x80088102,
+       0xec00bb00,
+       0x2ec00db0,
+       0x02204010,
+       0x00000000,
+       0x80056c00,
+       0x8b0026c0,
+       0x0bb802ed,
+       0x80b98022,
+       0x80099006,
+       0xec00bb00,
+       0x2ec009b0,
+       0x02200040,
+       0x00000000,
+       0x08000c00,
+       0x830424c0,
+       0x4b0002cc,
+       0x00b8802a,
+       0x80080002,
+       0xcc00b300,
+       0x2cc009b0,
+       0x02020100,
+       0x00000000,
+       0x00006c00,
+       0xc900b6c0,
+       0x0f8003cc,
+       0x00ba0032,
+       0x800da003,
+       0xfc04ff04,
+       0x3fc10cf0,
+       0x03000150,
+       0x00000000,
+       0xa015fc02,
+       0xff0039c0,
+       0x0fc003fc,
+       0x00ff003d,
+       0x800fc003,
+       0xfc00ff00,
+       0x3fc00ff0,
+       0x03e80670,
+       0x00000000,
+       0xc005fc00,
+       0xce103308,
+       0x2cc00330,
+       0x80dc2033,
+       0x400ce803,
+       0x7e00f780,
+       0x33f00fec,
+       0x03300070,
+       0x00000000,
+       0x8010ef00,
+       0x8b10a230,
+       0x088c0222,
+       0x00888022,
+       0x6008a802,
+       0x0c00b922,
+       0x20c00b22,
+       0x02a00430,
+       0x00000000,
+       0x8805cc42,
+       0x8220a004,
+       0x08241205,
+       0x10901020,
+       0x44880002,
+       0xcc00b308,
+       0xa0c80b32,
+       0x02a20170,
+       0x00000000,
+       0xc015ac00,
+       0x8b002202,
+       0x28a08224,
+       0x40880822,
+       0x40088802,
+       0xac81b900,
+       0x22c00bb0,
+       0x02b00460,
+       0x00000000,
+       0x4015cc00,
+       0xc6003310,
+       0x0cc40332,
+       0x00dc5033,
+       0x802c2a03,
+       0xef80f340,
+       0x32480fa1,
+       0x03900470,
+       0x00000000,
+       0xe001bc00,
+       0xff00bd04,
+       0x0f000be2,
+       0x04f8803f,
+       0x822fe003,
+       0x7600fd00,
+       0x3f410fe8,
+       0x03f80060,
+       0x00000000,
+       0x40102c00,
+       0xfa083a10,
+       0x0da40384,
+       0x02c06030,
+       0x840f8403,
+       0xed20cb00,
+       0x36400cb8,
+       0x83100420,
+       0x00000000,
+       0xc8053c04,
+       0xbb00223c,
+       0x08a48225,
+       0x00880522,
+       0xa00b8c02,
+       0xe6808980,
+       0x224408bc,
+       0x02320040,
+       0x00000000,
+       0xe0054c00,
+       0xb24028e0,
+       0x0b188289,
+       0x808390a0,
+       0x500b2082,
+       0xc9029214,
+       0x24900884,
+       0x0a380050,
+       0x00000000,
+       0x20011e48,
+       0xb38021e0,
+       0x2a58021a,
+       0x00878001,
+       0x640b6902,
+       0xda0094c0,
+       0xa1a00848,
+       0x02080040,
+       0x00000000,
+       0x48080c20,
+       0xf20038c0,
+       0x0f32038c,
+       0x08c32030,
+       0x440f1183,
+       0xc800d200,
+       0x34802c10,
+       0x03120200,
+       0x00000000,
+       0x401dbc30,
+       0xff003dc4,
+       0x0df003fc,
+       0x00ff003f,
+       0x640ff143,
+       0xf8406c00,
+       0x3f840fd0,
+       0x03d00660,
+       0x00000000,
+       0xa805ec00,
+       0xca000ac0,
+       0x0e900328,
+       0x00db0032,
+       0x808cb803,
+       0x0800c200,
+       0x32000c88,
+       0x032a0070,
+       0x00000000,
+       0x48119c80,
+       0x870020c0,
+       0x08100208,
+       0x04830020,
+       0x80087002,
+       0x18008400,
+       0x21000840,
+       0x02920460,
+       0x00000000,
+       0xc0008e00,
+       0x868021e1,
+       0x8a78021e,
+       0x00978021,
+       0xa008c806,
+       0x3a008e80,
+       0x21200858,
+       0x02300020,
+       0x00000000,
+       0x4814cc00,
+       0x8b00a0c0,
+       0x0830060c,
+       0x00830020,
+       0xa0080a02,
+       0x0a008c00,
+       0x21040810,
+       0x42920430,
+       0x00000000,
+       0xe815a800,
+       0xca003280,
+       0x2ea00b28,
+       0x10da00b3,
+       0xb828e22b,
+       0x2800ca04,
+       0x32a02ca0,
+       0x033a0460,
+       0x00000000,
+       0x4800e102,
+       0xf8403e00,
+       0x2f0403c0,
+       0x00f8003f,
+       0x028f8403,
+       0xe142f840,
+       0xbe000fc0,
+       0x03d20030,
+       0x00000000,
+       0x0810e700,
+       0xc9a03070,
+       0x0e900324,
+       0x00a10018,
+       0x400c9003,
+       0xe488c9a0,
+       0x32680c90,
+       0x03c20430,
+       0x00000000,
+       0x80044600,
+       0xa980a260,
+       0x28960225,
+       0x04890022,
+       0x66489f02,
+       0xe70089c4,
+       0x20602894,
+       0x02e00010,
+       0x00000000,
+       0x18052400,
+       0x89002350,
+       0x8ad01a35,
+       0x00ad0023,
+       0x40089002,
+       0xc4228f40,
+       0x234008d0,
+       0x02c60040,
+       0x00000000,
+       0x08040400,
+       0xa1402140,
+       0x08500214,
+       0x028500a1,
+       0x40081000,
+       0xc4008500,
+       0xa3400858,
+       0x02c20100,
+       0x00000000,
+       0xb80d6a00,
+       0xca803220,
+       0x0e880322,
+       0x00e88033,
+       0x202c8023,
+       0xe000c800,
+       0x30000c40,
+       0x03ee0350,
+       0x00000000,
+       0x981de500,
+       0xf1c0be50,
+       0x0f9403e5,
+       0x00f94036,
+       0x500f5013,
+       0xf4a0b929,
+       0x3e4a0f92,
+       0x83e60670,
+       0x00000000,
+       0x1805f400,
+       0xfd880b68,
+       0x0d9a8b26,
+       0x80c98036,
+       0x680c9003,
+       0x3400cd01,
+       0x33408fd0,
+       0x03c60070,
+       0x00000000,
+       0x3810e000,
+       0xb8402210,
+       0x08840229,
+       0x028c0022,
+       0x10088042,
+       0x20008802,
+       0x22000ba0,
+       0x02ce0430,
+       0x00000000,
+       0x0805c400,
+       0xb3002844,
+       0x4bd00214,
+       0x40854024,
+       0x5008b002,
+       0x24088100,
+       0x20400b10,
+       0x02c20170,
+       0x00000000,
+       0x1815a404,
+       0xbb00a240,
+       0x0a500234,
+       0x008d0122,
+       0x4008b402,
+       0x24008900,
+       0x22400b90,
+       0x42c60460,
+       0x00000000,
+       0xa015e408,
+       0xf9003a40,
+       0x0f980325,
+       0x00490036,
+       0x402c180b,
+       0x0408c900,
+       0xb2400f94,
+       0x03e80470,
+       0x00000000,
+       0x2801a404,
+       0xf9003c40,
+       0x0d9203c4,
+       0x20f1003c,
+       0xc00fbc83,
+       0xe412f900,
+       0x3e400f90,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xf0203200,
+       0x8cc00321,
+       0x02cc0032,
+       0x002c8403,
+       0xe028c820,
+       0x32000c84,
+       0x0b0a0420,
+       0x00000000,
+       0x28053950,
+       0xbe002382,
+       0x08a00228,
+       0x008a0022,
+       0xa008a002,
+       0xfb008e04,
+       0x239408e5,
+       0x020a0040,
+       0x00000000,
+       0x28054c00,
+       0xb241a020,
+       0x00100201,
+       0x0082e020,
+       0x78083402,
+       0xcf028a44,
+       0x20c00830,
+       0x020a0050,
+       0x00000000,
+       0xa0011c20,
+       0xb6012100,
+       0x08500214,
+       0x00a64020,
+       0x50087022,
+       0xd4208600,
+       0x21a3085c,
+       0x02280040,
+       0x00000000,
+       0xa8081e00,
+       0xf2803120,
+       0x2c180306,
+       0x08c280b1,
+       0x220c7883,
+       0xfa00cc80,
+       0xb3602ce8,
+       0x03220200,
+       0x00000000,
+       0x081dac00,
+       0xfa003c00,
+       0x0f900be4,
+       0x00da003e,
+       0x580fb403,
+       0xe000f804,
+       0x3e000f80,
+       0x03c20660,
+       0x00000000,
+       0x0005de00,
+       0xcc803320,
+       0x0cd90376,
+       0x00cd8033,
+       0x600cd803,
+       0x3e10ff80,
+       0x31e02cf8,
+       0x23c00070,
+       0x00000000,
+       0xa8119410,
+       0x84000100,
+       0x08530214,
+       0x00850029,
+       0xd00d7002,
+       0x9520b701,
+       0x21840840,
+       0x02ea0460,
+       0x00000000,
+       0x00009c00,
+       0x84002100,
+       0x08502e54,
+       0x02850021,
+       0xc008c102,
+       0x5840bd08,
+       0x23400860,
+       0x02c00020,
+       0x00000000,
+       0x2014cc06,
+       0x8044a080,
+       0x08100604,
+       0x00810028,
+       0xd8093802,
+       0xec00b100,
+       0x20000804,
+       0x02c10430,
+       0x00000000,
+       0xa8158c00,
+       0xcb403040,
+       0x0cb0036c,
+       0x00cb0022,
+       0x680ca8a3,
+       0x6c00fa00,
+       0x32c04cb1,
+       0x03e20460,
+       0x00000000,
+       0x8000ec00,
+       0xf2203e00,
+       0x2fb003ed,
+       0x00fb003e,
+       0x410fb403,
+       0xa400fb01,
+       0xbe800f98,
+       0x03e00030,
+       0x00000000,
+       0x0110f800,
+       0xcc003200,
+       0x2c2803ae,
+       0x80e30233,
+       0x480ff003,
+       0xf800cc81,
+       0x33408fea,
+       0x03c04430,
+       0x00000000,
+       0x81046882,
+       0x88c02220,
+       0x08a4822d,
+       0x04bb6222,
+       0x700b9c02,
+       0xe1008298,
+       0xa2060b80,
+       0x02e84010,
+       0x00000000,
+       0x80052c00,
+       0x8a802262,
+       0x08b20aad,
+       0x00b90022,
+       0xc00ba106,
+       0xee008b04,
+       0x22400b90,
+       0x02e00040,
+       0x00000000,
+       0x08040400,
+       0x82002000,
+       0x08300a0c,
+       0x00b10020,
+       0xc00b3022,
+       0xc0008304,
+       0x20400b00,
+       0x02ca0100,
+       0x00000000,
+       0x000d6c00,
+       0xc8003200,
+       0x0ca003ac,
+       0x00e900b2,
+       0xc04fa003,
+       0xe000c904,
+       0x32410f80,
+       0x03c00350,
+       0x00000000,
+       0xa01dfc00,
+       0xf400bf00,
+       0x0be003fc,
+       0x04fd003d,
+       0xc04f5053,
+       0xf006f600,
+       0x3f400fc0,
+       0x03e80670,
+       0x00000000,
+       0xc005f400,
+       0xcc0133cc,
+       0x0cf92336,
+       0x00ff8033,
+       0xe40cf903,
+       0xfc20dea8,
+       0x31f00cea,
+       0x03300070,
+       0x00000000,
+       0x8010e480,
+       0xc15823cc,
+       0x20920222,
+       0x00b32a22,
+       0x488ab202,
+       0xfd20aea0,
+       0x22e808ea,
+       0x82200430,
+       0x00000000,
+       0x8805e462,
+       0x00202040,
+       0x0aa20204,
+       0x00b30022,
+       0xc0083002,
+       0xcc009228,
+       0x20c90823,
+       0x02220170,
+       0x00000000,
+       0xc015a611,
+       0x98102240,
+       0x2ab40223,
+       0x00ba8022,
+       0x400ab002,
+       0xcc00aa00,
+       0xa2c40820,
+       0x02300460,
+       0x00000000,
+       0x4015e720,
+       0xcaa433c0,
+       0x2eb00326,
+       0x00f98032,
+       0xc00cb103,
+       0xec08da00,
+       0x32d00ca0,
+       0x0b100470,
+       0x00000000,
+       0xe001b809,
+       0xef803fc8,
+       0x2df403f4,
+       0x00ff003c,
+       0x400ff813,
+       0xfc00fe00,
+       0x3fc02fa0,
+       0x03f90060,
+       0x00000000,
+       0x4010a000,
+       0xf0a0b040,
+       0x3cb483ed,
+       0x00fa0032,
+       0x800cb003,
+       0xec00fa00,
+       0x32c00ca8,
+       0x4b100420,
+       0x00000000,
+       0xc8010800,
+       0xc8402270,
+       0x0e3242ef,
+       0x60ba0022,
+       0x0008b002,
+       0xfc00fe00,
+       0xa2800de8,
+       0x02320040,
+       0x00000000,
+       0xe0054400,
+       0xb0412048,
+       0x030012c8,
+       0x00bb0020,
+       0xc0083002,
+       0xcc00aa00,
+       0x22c00820,
+       0x0a380050,
+       0x00000000,
+       0x20011e00,
+       0xa5802162,
+       0x2a5a22da,
+       0x00b58023,
+       0x60087802,
+       0xde00be90,
+       0x23e40968,
+       0x02080040,
+       0x00000000,
+       0x48080c00,
+       0xf30132c0,
+       0x2b2003c8,
+       0x00b32130,
+       0xc02c3413,
+       0xec02e210,
+       0x30c00c20,
+       0x43120200,
+       0x00000000,
+       0x4019bc00,
+       0xdf003ff0,
+       0x0ff242f8,
+       0x08fe0037,
+       0x441ff103,
+       0xfc40f612,
+       0x3fc00fe0,
+       0x03d00660,
+       0x00000000,
+       0xa815e401,
+       0xfa003e50,
+       0x0cb0030e,
+       0x10c90032,
+       0xc00cb003,
+       0xede0da30,
+       0x32c00ca2,
+       0x032a0070,
+       0x00000000,
+       0x48199c05,
+       0xb7002d40,
+       0x0d70021c,
+       0x00850021,
+       0x40087012,
+       0xdc02d608,
+       0xa1402820,
+       0x82120460,
+       0x00000000,
+       0xc0009700,
+       0xb7802de0,
+       0x08f80a1e,
+       0x20868026,
+       0xa00a7802,
+       0xde91a2a0,
+       0x23e30869,
+       0x0a300020,
+       0x00000000,
+       0x4804ec08,
+       0xb3002cc0,
+       0x49bd020f,
+       0x048aa0a6,
+       0x004a8192,
+       0xcc00b200,
+       0x20c88820,
+       0x0a120430,
+       0x00000000,
+       0xe805ba00,
+       0xfe803e80,
+       0x0ce00238,
+       0x40cea036,
+       0x902eac03,
+       0xe800ee01,
+       0xb3b00ce0,
+       0x033a0460,
+       0x00000000,
+       0x4800e024,
+       0xf8503e00,
+       0x098483e1,
+       0x02f8003b,
+       0x02098003,
+       0xc0005804,
+       0x3e000f00,
+       0x63d20030,
+       0x00000000,
+       0x0800e400,
+       0xc9203e40,
+       0x0c9a4324,
+       0x00f91112,
+       0x400c9003,
+       0x2400c900,
+       0x32402c90,
+       0x03020430,
+       0x00000000,
+       0x80046408,
+       0x89482e42,
+       0x0a9a0224,
+       0x80b98022,
+       0x4028900a,
+       0x2402c900,
+       0xa0400890,
+       0x02200010,
+       0x00000000,
+       0x18052400,
+       0x89002c40,
+       0x28902224,
+       0x00b9002b,
+       0x4008d002,
+       0x24009100,
+       0xa2c04898,
+       0x02060040,
+       0x00000000,
+       0x08040510,
+       0x81402c50,
+       0x0a140204,
+       0x00b140ad,
+       0x50085402,
+       0x04008130,
+       0x22500810,
+       0x0a020100,
+       0x00000000,
+       0xb80d6200,
+       0xc8813c20,
+       0x28800b20,
+       0x00f0003a,
+       0x000cc003,
+       0x0340d828,
+       0x32000c87,
+       0x032e0350,
+       0x00000000,
+       0x981df702,
+       0xfdc03f70,
+       0x2f5803f4,
+       0x00ff8032,
+       0x600f9873,
+       0xe580f920,
+       0x3d480f93,
+       0x03e60670,
+       0x00000000,
+       0x1805c400,
+       0xcd003360,
+       0x4cd00734,
+       0x00c500b3,
+       0x400c9003,
+       0x2400c900,
+       0x32400cc0,
+       0x03060070,
+       0x00000000,
+       0x3810e000,
+       0x88002038,
+       0x08804220,
+       0x00888022,
+       0x0028800a,
+       0x00028800,
+       0x22002802,
+       0x920e0430,
+       0x00000000,
+       0x0805c400,
+       0x81002052,
+       0x28300a24,
+       0x00892820,
+       0x40081002,
+       0x04008100,
+       0x28400812,
+       0x02020170,
+       0x00000000,
+       0x1815a622,
+       0x89022240,
+       0x18941224,
+       0x40890020,
+       0x40081c06,
+       0x24008900,
+       0x28400890,
+       0x42060460,
+       0x00000000,
+       0xa015e400,
+       0xc960b260,
+       0x2c900206,
+       0x02c1c032,
+       0x480c9403,
+       0x2400c900,
+       0xba580c90,
+       0x0b280520,
+       0x00000000,
+       0x28018404,
+       0xf1c23c4a,
+       0x2f9203e4,
+       0x00f9923e,
+       0x400f9003,
+       0xc400f100,
+       0x3664af00,
+       0x01cb0060,
+       0x00000000,
+       0x2810a100,
+       0xc8213200,
+       0x2d820320,
+       0x00f800b2,
+       0x000c8003,
+       0xe014c800,
+       0xb2000c80,
+       0x0b0a0420,
+       0x00000000,
+       0x28052800,
+       0x8e0023b2,
+       0x08e402b8,
+       0x88ba0222,
+       0x8028a002,
+       0xe8008a00,
+       0x22802882,
+       0x020a0040,
+       0x00000000,
+       0x28054c00,
+       0x83c020e0,
+       0x09a01206,
+       0x00b38020,
+       0xc0283002,
+       0xcc068300,
+       0x00c00830,
+       0x020a0050,
+       0x00000000,
+       0xa0013c80,
+       0x85082140,
+       0x29702294,
+       0x00bf0861,
+       0xc0087042,
+       0xdc108710,
+       0x21c80872,
+       0x02280040,
+       0x00000000,
+       0xa8081e82,
+       0xcf80b1e0,
+       0x0de81312,
+       0x10f58031,
+       0xfc0c7d03,
+       0xdfa08380,
+       0x33e00c7e,
+       0x0b2a0200,
+       0x00000000,
+       0x081dac40,
+       0xf9003e40,
+       0x0e9003e4,
+       0x08f9403c,
+       0xd00fb403,
+       0xed00fb40,
+       0xbed00fb4,
+       0x03c20660,
+       0x00000000,
+       0x0005fe00,
+       0xfe803fa0,
+       0x0ed80336,
+       0x00cdd033,
+       0xe00ff803,
+       0xfe08cfc0,
+       0x33f24cfc,
+       0x03000070,
+       0x00000000,
+       0xa8119c00,
+       0xb4002d00,
+       0x0dd0835c,
+       0x00853031,
+       0xc08b7142,
+       0xdc008f00,
+       0x23c208f1,
+       0x022a0460,
+       0x00000000,
+       0x00009c00,
+       0xb7402d84,
+       0x0a500250,
+       0x40878025,
+       0xc20b7082,
+       0xdc428700,
+       0x21c40970,
+       0x02400020,
+       0x00000000,
+       0x2014cd90,
+       0xb1002e00,
+       0x1998824d,
+       0x0083c020,
+       0xf00b3882,
+       0xcc008300,
+       0x20c009b0,
+       0x02480430,
+       0x00000000,
+       0xa811bd00,
+       0xfb003ec0,
+       0x2e800364,
+       0x02cb48b7,
+       0xf00ff403,
+       0xfc00cf00,
+       0xb1f02db0,
+       0x0b6a0460,
+       0x00000000,
+       0x8000ec48,
+       0xfb423e50,
+       0x0f9003ec,
+       0x04fb003e,
+       0xc80fb003,
+       0xec00fb00,
+       0x3ec00eb0,
+       0x03a00030,
+       0x00000000,
+       0x0110fc03,
+       0xce003ff0,
+       0x2dd01332,
+       0x20fd083d,
+       0xc00cf003,
+       0xbc01ff00,
+       0xb3c20cf8,
+       0x03004430,
+       0x00000000,
+       0x81004c10,
+       0x8b022f30,
+       0x0d98236e,
+       0x00bd002e,
+       0xc00fb002,
+       0xec048b01,
+       0x22c128b9,
+       0x02204010,
+       0x00000000,
+       0x80052c00,
+       0x88512e02,
+       0x29988224,
+       0x80b9016e,
+       0xc008b002,
+       0xcc00a302,
+       0x22c008b0,
+       0x42600040,
+       0x00000000,
+       0x08042c01,
+       0x80002c80,
+       0x2910024c,
+       0x00b1002e,
+       0xc02b3002,
+       0xcc008300,
+       0x22c01830,
+       0x02420100,
+       0x00000000,
+       0x000d6c00,
+       0x8a013c00,
+       0x2d900320,
+       0x04f7043f,
+       0xc00cf003,
+       0x9c007f01,
+       0x33c02cf0,
+       0x0b400350,
+       0x00000000,
+       0xa00dfc00,
+       0xff023fc0,
+       0xafd003dc,
+       0x00ff003f,
+       0xc00ff003,
+       0xfc00ff00,
+       0x3fc02ff0,
+       0x03a80670,
+       0x00000000,
+       0xc001f200,
+       0xcf0233c0,
+       0x48e20336,
+       0x00c78033,
+       0xa08ff803,
+       0x3e00c780,
+       0x33a00cf0,
+       0x23300070,
+       0x00000000,
+       0x8010e600,
+       0xdf0822e1,
+       0x45e90222,
+       0x048a802a,
+       0xa00bb002,
+       0x2c008b80,
+       0x208008b5,
+       0x02200430,
+       0x00000000,
+       0x8805e402,
+       0x811022c0,
+       0x0220020c,
+       0x00820020,
+       0x000b2002,
+       0x0c11aa00,
+       0xa0800830,
+       0x42220170,
+       0x00000000,
+       0xc015a500,
+       0x99042240,
+       0x0ba0022a,
+       0x008a802a,
+       0x000b2022,
+       0x2c008a02,
+       0x228008b0,
+       0x02300460,
+       0x00000000,
+       0x4011e500,
+       0xcf10b3cc,
+       0x0e20030e,
+       0x00cbc832,
+       0xc40fb803,
+       0x2a02e300,
+       0x22c42cb0,
+       0x03100470,
+       0x00000000,
+       0xe001b440,
+       0xfb803ee0,
+       0x0de00bf4,
+       0x00fe003f,
+       0xc00ff103,
+       0xf868ff90,
+       0x3fe10f70,
+       0x03f80060,
+       0x00000000,
+       0x4010a500,
+       0xe10032c8,
+       0x0ea0032c,
+       0x40fa0032,
+       0x400faa03,
+       0xea04fa00,
+       0xb2e90cb0,
+       0x23d00420,
+       0x00000000,
+       0xc8050700,
+       0x89212070,
+       0x08e9036c,
+       0x08b2e036,
+       0x400ba802,
+       0xea00ba20,
+       0x22f008f0,
+       0x02f20040,
+       0x00000000,
+       0xe0054630,
+       0xa101a0d4,
+       0x12250206,
+       0x00b22020,
+       0x000b1412,
+       0xe514314c,
+       0x22102830,
+       0x02f80050,
+       0x00000000,
+       0x20011628,
+       0x058021e1,
+       0x08680256,
+       0x00be8025,
+       0x200b5902,
+       0xd640b590,
+       0x21200878,
+       0x02c80040,
+       0x00000000,
+       0x48080440,
+       0xe30030c0,
+       0x0e20030c,
+       0x18f200b0,
+       0x000f0003,
+       0xc404f000,
+       0xb0000c30,
+       0x03d20200,
+       0x00000000,
+       0x401d9500,
+       0xffc1bfc0,
+       0x076003fc,
+       0x44fe103f,
+       0x000fc003,
+       0xf400fc00,
+       0xbf040ff0,
+       0x03d00660,
+       0x00000000,
+       0xa805e580,
+       0xf9443380,
+       0x0e248924,
+       0x00f20032,
+       0x500f9803,
+       0x2200c100,
+       0x32610cb1,
+       0x03ea0070,
+       0x00000000,
+       0x48119c10,
+       0xb1002180,
+       0x0b640214,
+       0x00b60021,
+       0x448b502a,
+       0x10028500,
+       0x21400870,
+       0x02d20460,
+       0x00000000,
+       0xc0009600,
+       0xb78021e0,
+       0x0aea021e,
+       0x00b68821,
+       0x600b4802,
+       0x12008480,
+       0xa160087a,
+       0x02f00020,
+       0x00000000,
+       0x4814ce20,
+       0xb300a0c8,
+       0x0b20060e,
+       0x04b08020,
+       0x500b4002,
+       0x10008400,
+       0x20400830,
+       0x02d20430,
+       0x00000000,
+       0xe815a800,
+       0xfa003380,
+       0x0ee0133a,
+       0x60fe80b2,
+       0x940ba003,
+       0x2900ca00,
+       0x3290aca0,
+       0x03fa0460,
+       0x00000000,
+       0x4800e048,
+       0xf0003e00,
+       0x0f000360,
+       0x00f8003e,
+       0x000f8003,
+       0xe030f800,
+       0x3f020f80,
+       0x03d20030,
+       0x00000000,
+       0x0810ed00,
+       0xf9103240,
+       0x0c900326,
+       0x84f90032,
+       0xc00f9103,
+       0xe680f9a0,
+       0x32400f90,
+       0x03020430,
+       0x00000000,
+       0x80046600,
+       0xb9802040,
+       0x08940224,
+       0x00b12436,
+       0x400b9c12,
+       0xe500b180,
+       0xa2700b10,
+       0x0a200010,
+       0x00000000,
+       0x18052400,
+       0xb904a260,
+       0x0894022c,
+       0x00b90022,
+       0x400b9002,
+       0xf400bd40,
+       0xa3480b90,
+       0x02060040,
+       0x00000000,
+       0x08040c00,
+       0xb1402041,
+       0x08100a04,
+       0x00b90024,
+       0x400b5802,
+       0xd600b500,
+       0x21610b92,
+       0x82020100,
+       0x00000000,
+       0xb80d6000,
+       0xf88032a0,
+       0x2c880320,
+       0x00f80032,
+       0x000f0003,
+       0xc004f800,
+       0xb1000f87,
+       0x032e0350,
+       0x00000000,
+       0x9819e410,
+       0x7dc43f50,
+       0x0fd403f4,
+       0x00fd003e,
+       0x400f9283,
+       0xe4a0f904,
+       0xbe4a0fd8,
+       0x03e60670,
+       0x00000000,
+       0x1805f400,
+       0xfdb03360,
+       0x0f500324,
+       0x00cd0032,
+       0x400cd00b,
+       0x3400cd00,
+       0x33400c90,
+       0x03c60070,
+       0x00000000,
+       0x3810e000,
+       0xb8b42280,
+       0x0b804a20,
+       0x00a80022,
+       0x0008a052,
+       0x00088800,
+       0x2200088a,
+       0x02ce0430,
+       0x00000000,
+       0x0805c400,
+       0xb1212051,
+       0x0b10022c,
+       0x00898022,
+       0x40281002,
+       0x04008100,
+       0xa041c810,
+       0xe2c20170,
+       0x00000000,
+       0x1815a420,
+       0xb9202240,
+       0x0b904224,
+       0x40ab2022,
+       0x4008b002,
+       0x24088901,
+       0xa0400890,
+       0x02c60460,
+       0x00000000,
+       0xa015e500,
+       0xf900b260,
+       0x0f900304,
+       0x04c180b2,
+       0x640c9403,
+       0x2402c120,
+       0x32400c90,
+       0x03e80470,
+       0x00000000,
+       0x2801a400,
+       0xf1803e64,
+       0x0f1083ec,
+       0x00f9003e,
+       0x600f9003,
+       0xe428f900,
+       0x3e402f90,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xc8003200,
+       0x0c800320,
+       0x00f8003e,
+       0x000f840b,
+       0x2000c800,
+       0xb2004f80,
+       0x0b0a0420,
+       0x00000000,
+       0x28013a80,
+       0x8a002390,
+       0x4de222a8,
+       0x08be502d,
+       0x800be182,
+       0x3b008e00,
+       0xa3840ba0,
+       0x020a0040,
+       0x00000000,
+       0x28054a00,
+       0x838220c2,
+       0x082c020c,
+       0x00b3102c,
+       0x801bbc02,
+       0x2f0082e0,
+       0x20e00b30,
+       0x020a0050,
+       0x00000000,
+       0xa0013e02,
+       0x874021e0,
+       0x09f002dc,
+       0x00b6002d,
+       0x800b5002,
+       0x14248508,
+       0x21420b78,
+       0x02280040,
+       0x00000000,
+       0xa8081e00,
+       0xcf80b1e0,
+       0x0c78031e,
+       0x00f6803d,
+       0xa00fe803,
+       0x1a02c780,
+       0x31a00ff8,
+       0x032a0200,
+       0x00000000,
+       0x081d8810,
+       0xf901acc0,
+       0x0fa003ac,
+       0x24fa003e,
+       0x800f8003,
+       0xe000f100,
+       0x3e000fb0,
+       0x03c20660,
+       0x00000000,
+       0x0005fa00,
+       0xfd8033e0,
+       0x0fd80b3e,
+       0x00fe9033,
+       0xa00ff803,
+       0xfe00ff80,
+       0xb3e00ff8,
+       0x03c00070,
+       0x00000000,
+       0xa8119c20,
+       0xb51021ca,
+       0x0b70021c,
+       0x00b60035,
+       0x800b5002,
+       0xd400b540,
+       0x21440b70,
+       0x02ea0460,
+       0x00000000,
+       0x00009c40,
+       0xb10021c0,
+       0x0bd0021c,
+       0x00b60021,
+       0x800b6102,
+       0xd800b700,
+       0x21800b70,
+       0x02c00020,
+       0x00000000,
+       0x2014c910,
+       0xb30020e0,
+       0x0b300206,
+       0xc0b2c024,
+       0x800b0012,
+       0xc400b100,
+       0xa2320b30,
+       0x02c80430,
+       0x00000000,
+       0xa815aa40,
+       0xfb00b0c0,
+       0x0fb0032f,
+       0x00fa4832,
+       0x800fb023,
+       0xec00f300,
+       0x32d20ff0,
+       0x03eb0460,
+       0x00000000,
+       0x8000ed00,
+       0xfb003e50,
+       0x0fb003ec,
+       0x00fa003e,
+       0x800f9403,
+       0xe510b900,
+       0xbe400fb0,
+       0x03e00030,
+       0x00000000,
+       0x0110f800,
+       0xff003fc0,
+       0x0cf80b3e,
+       0x00fe083f,
+       0x800ce803,
+       0xfb08ff04,
+       0x33800cf0,
+       0x03c04430,
+       0x00000000,
+       0x81046308,
+       0xbd002eb0,
+       0x4834822c,
+       0x00ba122c,
+       0x80088902,
+       0xe000bb50,
+       0x224608f0,
+       0x02e04010,
+       0x00000000,
+       0x80052a20,
+       0xb9002ef0,
+       0x08a2022c,
+       0x80ba002e,
+       0x8008b002,
+       0xec20bb00,
+       0x22c008b0,
+       0x02e00040,
+       0x00000000,
+       0x08040c00,
+       0xb1042e40,
+       0x08b0020c,
+       0x10b0002c,
+       0x80082002,
+       0xc800b901,
+       0xa0806830,
+       0x02c20100,
+       0x00000000,
+       0x000d6800,
+       0xf9013ec0,
+       0x2cb0032c,
+       0x00fa003e,
+       0x802c9003,
+       0xe000f900,
+       0x32010cb0,
+       0x03c00350,
+       0x00000000,
+       0xa01df800,
+       0xff003fc0,
+       0x0f6003fc,
+       0x10f4003f,
+       0x810fc053,
+       0xf000f500,
+       0x3f000ff0,
+       0x03e80670,
+       0x00000000,
+       0xc005fc00,
+       0xce903d64,
+       0x0ff80336,
+       0x00cc8031,
+       0x200cc803,
+       0x1200cc80,
+       0x33400cd0,
+       0x03300070,
+       0x00000000,
+       0xc010ee40,
+       0x8a222ec8,
+       0x0bb8422e,
+       0x00888022,
+       0xe008b802,
+       0x2e088b80,
+       0x22640a98,
+       0x02200430,
+       0x00000000,
+       0xc805cc00,
+       0xa2012c40,
+       0x0bb0022c,
+       0x10080022,
+       0x8008a002,
+       0x2c028900,
+       0x22400830,
+       0x02221170,
+       0x00000000,
+       0xc015ac20,
+       0x8a882e60,
+       0x0bb28226,
+       0x08880022,
+       0x40089002,
+       0x20088a00,
+       0x22400ab0,
+       0x02380460,
+       0x00000000,
+       0x4015cf10,
+       0xca803ea2,
+       0x0fb80106,
+       0x82c00030,
+       0x400c1003,
+       0x00008200,
+       0xb2200cd2,
+       0x03100470,
+       0x00000000,
+       0xe001bc44,
+       0xfe003f80,
+       0x0ff803f4,
+       0x20fc00bf,
+       0x802fe003,
+       0xfc00fd00,
+       0x3ff00714,
+       0x8af00060,
+       0x00000000,
+       0x5010ac80,
+       0xfb003240,
+       0x0cb003e4,
+       0x00f800b2,
+       0xe00cb803,
+       0xae00cb88,
+       0xb2000fb8,
+       0x03900420,
+       0x00000000,
+       0xc8052d04,
+       0xbb002254,
+       0x083012e4,
+       0x00b84022,
+       0x30088c02,
+       0x230088c0,
+       0x20c00bb8,
+       0x12320040,
+       0x00000000,
+       0xe8054800,
+       0xb2002030,
+       0x283002c4,
+       0x00b00020,
+       0x0008000a,
+       0x00028000,
+       0x20c00b30,
+       0x02b90050,
+       0x00000000,
+       0xb0011e00,
+       0xb68023a2,
+       0x087802de,
+       0x40b4c823,
+       0xf008fc02,
+       0x3f408f80,
+       0x21e10b78,
+       0x02080040,
+       0x00000000,
+       0x48080800,
+       0xfb0030c8,
+       0x4c3243c4,
+       0x40f00130,
+       0x802c2203,
+       0x8c40c100,
+       0x30c00f20,
+       0x03920200,
+       0x00000000,
+       0x401db000,
+       0xff003fc0,
+       0x0ff003f8,
+       0x40f4103f,
+       0x440f5003,
+       0xf040f610,
+       0x3fc05fe0,
+       0x03d00660,
+       0x00000000,
+       0xa805e580,
+       0xba803280,
+       0x0cb003c4,
+       0x00c80032,
+       0x400c9003,
+       0x2000ca00,
+       0x32000ff0,
+       0x23ea0070,
+       0x00000000,
+       0xc8119420,
+       0xb6002380,
+       0x084002d4,
+       0x00840021,
+       0x80086012,
+       0x1c008500,
+       0x61c00b70,
+       0x02d20460,
+       0x00000000,
+       0x80009e00,
+       0xbdc225e0,
+       0x087802d6,
+       0x00948021,
+       0xe0087802,
+       0x1e008f80,
+       0x21200b68,
+       0x02f00020,
+       0x00000000,
+       0x4814c430,
+       0xb3c006e0,
+       0x881092c2,
+       0x40900020,
+       0x00080002,
+       0x00008000,
+       0x20f00b20,
+       0x02d20430,
+       0x00000000,
+       0xe815ab20,
+       0xfe121792,
+       0x2ce042f8,
+       0x02d200b2,
+       0x942ca50a,
+       0x0802c288,
+       0xb3808f60,
+       0x13fa0460,
+       0x00000000,
+       0x4800e100,
+       0xf802ba12,
+       0x0f8503e0,
+       0x00e8003f,
+       0x000fc043,
+       0xf000fc00,
+       0x3e0c8f80,
+       0x03d20030,
+       0x00000000,
+       0x0810ed00,
+       0xf9003e68,
+       0x0c9c1326,
+       0x80c9a032,
+       0x400c9003,
+       0x2400c900,
+       0x32400f98,
+       0x03020430,
+       0x00000000,
+       0x80046600,
+       0xb9002e70,
+       0x08180205,
+       0x90d98020,
+       0x600d1803,
+       0x66028980,
+       0x2a400b9a,
+       0x02200010,
+       0x00000000,
+       0x18012420,
+       0xb9002e40,
+       0x0890a624,
+       0x01890023,
+       0x6008d802,
+       0x36008d80,
+       0x22400b92,
+       0x00060040,
+       0x00000000,
+       0x08040400,
+       0xb1406c50,
+       0x08900204,
+       0x01990023,
+       0x4009d002,
+       0x74028d04,
+       0x28480b92,
+       0x22020100,
+       0x00000000,
+       0xb80d6200,
+       0xb8002e80,
+       0x0c800b20,
+       0x00c800b2,
+       0x000c8003,
+       0x2000cc00,
+       0x32200f88,
+       0x0b2e0350,
+       0x00000000,
+       0x981ded10,
+       0xf5823f60,
+       0x2ff003fc,
+       0x08f1023c,
+       0x408f1023,
+       0xc400f100,
+       0x3f440ff1,
+       0x03e60670,
+       0x00000000,
+       0x1805f400,
+       0xf9013741,
+       0x2cd003f4,
+       0x00c90132,
+       0x410c9003,
+       0xa400c900,
+       0x33400fd8,
+       0x0b060070,
+       0x00000000,
+       0x3810e010,
+       0xb8040e00,
+       0x088000e0,
+       0x08880022,
+       0x00088042,
+       0xa800ae00,
+       0x2a008b80,
+       0x020e0430,
+       0x00000000,
+       0x4805c400,
+       0xb1022e40,
+       0x0a1002c4,
+       0x088d0023,
+       0x4008d002,
+       0x34009d00,
+       0x24400b14,
+       0x02021170,
+       0x00000000,
+       0x1815a600,
+       0xb9192e50,
+       0x089012e4,
+       0x008d0023,
+       0x4408d112,
+       0xb400bd00,
+       0x2e400390,
+       0x02060460,
+       0x00000000,
+       0xa015e600,
+       0xf9013c40,
+       0x0c9003c4,
+       0x024100b0,
+       0x402c100b,
+       0x8604d100,
+       0xb6400f18,
+       0x03280470,
+       0x00000000,
+       0x6801a408,
+       0xf9013e50,
+       0xcb9013e6,
+       0xb0f9003e,
+       0x400f9003,
+       0xe680e900,
+       0x3a400f92,
+       0x02ca0060,
+       0x00000000,
+       0x2810a000,
+       0xc8002e00,
+       0x1e8407e1,
+       0x00fc0033,
+       0x000cc003,
+       0xf004cc20,
+       0xb2000c80,
+       0x030a0420,
+       0x00000000,
+       0x28053a40,
+       0x8a002fa0,
+       0x28e21279,
+       0x20ba0022,
+       0x8008a002,
+       0xe800da00,
+       0xb68008e0,
+       0x0a0a0040,
+       0x00000000,
+       0x28054502,
+       0x83002cd8,
+       0x080082ce,
+       0x00b302e8,
+       0xc0083002,
+       0xcd808240,
+       0x20c02a38,
+       0x020a0050,
+       0x00000000,
+       0xa0011308,
+       0x87002dc2,
+       0x0a4012d8,
+       0x00be002b,
+       0x00484010,
+       0xf0009c00,
+       0x25e00a60,
+       0x82280040,
+       0x00000000,
+       0xa8080600,
+       0xc7c03fe0,
+       0x0a5802da,
+       0x04f58139,
+       0x602c5803,
+       0xd6004480,
+       0xb1e00e68,
+       0x432a0200,
+       0x00000000,
+       0x081da000,
+       0xfb003ec0,
+       0x0d900368,
+       0x00f00014,
+       0x800fa003,
+       0xc800f200,
+       0x3cc00d20,
+       0x03c20660,
+       0x00000000,
+       0x0005fa00,
+       0xff8437e0,
+       0x0e680312,
+       0x02cf8431,
+       0xe10c7803,
+       0x3a00c781,
+       0xb3e00f78,
+       0x03001070,
+       0x00000000,
+       0xa8119900,
+       0xb7000f10,
+       0x0c604350,
+       0x00860021,
+       0x06284482,
+       0x9404c500,
+       0x21c00b41,
+       0x03620460,
+       0x00000000,
+       0x00009100,
+       0xb7002d40,
+       0x0a440238,
+       0x208d00a3,
+       0x4008d102,
+       0x30409d80,
+       0x21c40b40,
+       0x02000020,
+       0x00000000,
+       0x2014c004,
+       0xb3802c00,
+       0x09000249,
+       0x98800020,
+       0x90082402,
+       0x8e008320,
+       0x20c00b00,
+       0x02480430,
+       0x00000000,
+       0xa815a780,
+       0xff203e60,
+       0x0e800220,
+       0x00ca00b0,
+       0xa42c2903,
+       0x0d22d240,
+       0x32f20f20,
+       0x0b2a0460,
+       0x00000000,
+       0x8000e000,
+       0xfb013e44,
+       0x0e8803e0,
+       0x00fb023e,
+       0x408f9423,
+       0xe088f880,
+       0x3ec20f80,
+       0x03e00030,
+       0x00000000,
+       0x0110f400,
+       0xff023f00,
+       0x0fc00338,
+       0x047c0023,
+       0x000cc003,
+       0x3400cc02,
+       0x31c00ce0,
+       0x23004430,
+       0x00000000,
+       0x80046600,
+       0xbb002e30,
+       0x8b00222a,
+       0x00b9602a,
+       0xd808b402,
+       0x28008a00,
+       0x22c0088c,
+       0x03e04010,
+       0x00000000,
+       0x80052a00,
+       0xbb002e60,
+       0x0b880223,
+       0x00b2002a,
+       0x8008a002,
+       0x28008b00,
+       0x22c008ac,
+       0x02200040,
+       0x00000000,
+       0x08040804,
+       0xb3002c00,
+       0x0b080200,
+       0x04bb0020,
+       0x4028100a,
+       0x04028101,
+       0xa0c00800,
+       0x02c20100,
+       0x00000000,
+       0x000d6c00,
+       0xfb003e00,
+       0x0f800b28,
+       0x04f800aa,
+       0x000c8003,
+       0x2000c900,
+       0x32c00c80,
+       0x03000350,
+       0x00000000,
+       0xa01dfc14,
+       0xff003f00,
+       0x0fc023f8,
+       0x0035023f,
+       0xc00ff003,
+       0xdc04ff00,
+       0x3fc00fc0,
+       0x03e80670,
+       0x00000000,
+       0xc005fe00,
+       0xed803b60,
+       0x0ce80032,
+       0x02cf803f,
+       0xe00ff003,
+       0xb200dd80,
+       0x3f802cc1,
+       0x03f00070,
+       0x00000000,
+       0x8010ea00,
+       0xeb002040,
+       0x08a022ae,
+       0x008b002e,
+       0xe00bb803,
+       0x22008980,
+       0x2eb10885,
+       0x02e00430,
+       0x00000000,
+       0x8805cc00,
+       0xbb002840,
+       0x0a300224,
+       0x0c830024,
+       0xc00b3002,
+       0x88009000,
+       0x2c844a1a,
+       0x02e20170,
+       0x00000000,
+       0xc0152c08,
+       0xbb802240,
+       0x0a302aa6,
+       0x000b002e,
+       0xc00bb002,
+       0x69000800,
+       0x6e8082b1,
+       0x02f00460,
+       0x00000000,
+       0x0015ed88,
+       0xf3003a40,
+       0x0e94030a,
+       0x00cb003e,
+       0xc00fb003,
+       0x8a005948,
+       0x3d800eb8,
+       0x03c00470,
+       0x00000000,
+       0xe001bc00,
+       0xed003f44,
+       0x8dd003fc,
+       0x00ff003f,
+       0xc00ff003,
+       0xba60fda0,
+       0x1f8205d8,
+       0x03f80060,
+       0x00000000,
+       0x4010af00,
+       0xfb013240,
+       0x2cb00326,
+       0x00fb003e,
+       0xc00fb083,
+       0xef00f900,
+       0x3e800fb2,
+       0x03d00420,
+       0x00000000,
+       0xc8052e00,
+       0xbb04a248,
+       0x08ba0224,
+       0x00bb882e,
+       0xc00b7882,
+       0xee04b902,
+       0x2f800bb6,
+       0x02f20040,
+       0x00000000,
+       0xe0054c00,
+       0xb3012240,
+       0x02360208,
+       0x04b3a00c,
+       0xc00b3002,
+       0xc400b101,
+       0x2c800314,
+       0x02f80050,
+       0x00000000,
+       0x20011e00,
+       0xb7902164,
+       0x0a7a021e,
+       0x00b7802d,
+       0xe00b7802,
+       0xd604b5c4,
+       0x2da70b58,
+       0x02c80040,
+       0x00000000,
+       0x48080c40,
+       0xf900b0c4,
+       0x2eb4030c,
+       0x40f3003c,
+       0xc00f3003,
+       0xcc00f000,
+       0x3c800f30,
+       0x03d20200,
+       0x00000000,
+       0x401dbd00,
+       0x3f00bfc4,
+       0x0df20bfc,
+       0x00ff103f,
+       0xc00ff183,
+       0xfc40fc00,
+       0x3f800ff0,
+       0x03d00660,
+       0x00000000,
+       0xa805ed00,
+       0xfb003240,
+       0x6e900328,
+       0x04fb003e,
+       0xc00fb003,
+       0xe000f280,
+       0x33808c90,
+       0x03ea0070,
+       0x00000000,
+       0x48019c08,
+       0xb7042340,
+       0x08d00a1c,
+       0x00b7002d,
+       0xc00b7042,
+       0xd040e614,
+       0xa1a00a50,
+       0x02d20460,
+       0x00000000,
+       0xc0009e00,
+       0xb78025e0,
+       0x0a780a1e,
+       0x00b7802d,
+       0xe00b7a02,
+       0xd620be80,
+       0x20a00878,
+       0x02f00020,
+       0x00000000,
+       0x4814cd88,
+       0xb103a4c0,
+       0x2835820c,
+       0x40bb002c,
+       0xc00b3002,
+       0xc400a210,
+       0x20800a38,
+       0x02d20430,
+       0x00000000,
+       0xe815a800,
+       0xfa003680,
+       0x0e640b1a,
+       0x00fa003e,
+       0x800fa003,
+       0xe800fa00,
+       0x31804cec,
+       0x03fa0460,
+       0x00000000,
+       0x4800e000,
+       0xf8003a00,
+       0x0f8013e0,
+       0x00b8403e,
+       0x000f8003,
+       0xe120f848,
+       0x3e108f81,
+       0x83d20030,
+       0x00000000,
+       0x0810ec00,
+       0xf9103240,
+       0x6c900324,
+       0x80c90012,
+       0x400f9023,
+       0xec10eb00,
+       0x3e600c98,
+       0x03020430,
+       0x00000000,
+       0x80046410,
+       0xb940a040,
+       0x08980226,
+       0x00d96022,
+       0x400b9402,
+       0xe500b900,
+       0x2e70289c,
+       0x03600010,
+       0x00000000,
+       0x18012400,
+       0xb1422240,
+       0x48910224,
+       0x0089102a,
+       0x400b9082,
+       0xe420b980,
+       0x0e580812,
+       0x86060040,
+       0x00000000,
+       0x08140400,
+       0xb1406250,
+       0x08940244,
+       0x00914028,
+       0x400b1202,
+       0xc400b300,
+       0x2e400812,
+       0x82420100,
+       0x00000000,
+       0xb8056000,
+       0xf0003200,
+       0x0c800b20,
+       0x00c800aa,
+       0x000f8283,
+       0xe000e800,
+       0x3e200c82,
+       0x032e0350,
+       0x00000000,
+       0x9815e400,
+       0xfd82bf60,
+       0x0fd803b4,
+       0x00f98036,
+       0x400f9002,
+       0xe400f900,
+       0x3f500fd2,
+       0x83e60670,
+       0x00000000,
+       0x1805f400,
+       0xfb003244,
+       0x0e940714,
+       0x00fd003e,
+       0x400fd003,
+       0x2400f900,
+       0x3f628cd0,
+       0x03060070,
+       0x00000000,
+       0x3810e018,
+       0xb0a4a029,
+       0x08c802a0,
+       0x00b8002e,
+       0x000b800a,
+       0x2800b800,
+       0x2e100880,
+       0x020e0430,
+       0x00000000,
+       0x0805c400,
+       0xb5286148,
+       0x2a500606,
+       0x0cb1022c,
+       0x400b1002,
+       0x0400b100,
+       0x2c401810,
+       0x02020170,
+       0x00000000,
+       0x1815a50c,
+       0xb1002340,
+       0x08d44ea4,
+       0x00b9000e,
+       0x400b9002,
+       0x2440b940,
+       0x2e40089c,
+       0x02060460,
+       0x00000000,
+       0xa010e400,
+       0xf9012260,
+       0x2e980a26,
+       0x04f9003e,
+       0x400f9003,
+       0x2400f941,
+       0x3e402c90,
+       0x0b280420,
+       0x00000000,
+       0x2800a400,
+       0xf9903e48,
+       0x0f9903e6,
+       0x40f9003e,
+       0x400f1003,
+       0xec00fb0c,
+       0x3c400f10,
+       0x03ca0020,
+       0x00000000,
+       0x2810a140,
+       0xf800b608,
+       0x0dc00360,
+       0x10c80832,
+       0x000f8803,
+       0xe180f800,
+       0x3e002c82,
+       0x030a0420,
+       0x00000000,
+       0x28042a04,
+       0xba00a290,
+       0x88e003f8,
+       0x808e4022,
+       0x800be002,
+       0xe800ba40,
+       0x2f8008e0,
+       0x020a0000,
+       0x00000000,
+       0x28054600,
+       0xb30022c0,
+       0x09a0020a,
+       0x00828048,
+       0xc00b2002,
+       0xcc00b340,
+       0x2c800934,
+       0x020a0050,
+       0x00000000,
+       0x20011400,
+       0xb7002140,
+       0x08e012da,
+       0x0086c029,
+       0xc00b7002,
+       0xd400b600,
+       0x2dc00950,
+       0x82080040,
+       0x00000000,
+       0x28081600,
+       0xf48031e0,
+       0x0d48031a,
+       0x02ce80b9,
+       0xe00f7803,
+       0xde007590,
+       0x3de00df8,
+       0x0b0a0200,
+       0x00000000,
+       0x081da400,
+       0x38013a40,
+       0x2f0013e8,
+       0x00fa0036,
+       0xc00fb003,
+       0xe020f940,
+       0x3cc00e90,
+       0x07c20660,
+       0x00000000,
+       0x4005de00,
+       0xcf80b3a0,
+       0x0ce823b2,
+       0x00ff803b,
+       0xe00ff803,
+       0xfe00ff10,
+       0x33a40c68,
+       0x43100070,
+       0x00000000,
+       0xa8119400,
+       0xdf00a108,
+       0x08620318,
+       0x88bd0021,
+       0xc00b7502,
+       0xd400b700,
+       0x35cc8c41,
+       0x822a0460,
+       0x00000000,
+       0x00009c40,
+       0x84002180,
+       0x48c80298,
+       0x00b40029,
+       0xc00b7002,
+       0xdc00b501,
+       0x27c019e0,
+       0x02000020,
+       0x00000000,
+       0x6014c400,
+       0x90102200,
+       0x28000208,
+       0x20b10020,
+       0xc00b3002,
+       0xc400b100,
+       0x24c02808,
+       0x0a180430,
+       0x00000000,
+       0xa811ad00,
+       0x8b0032c0,
+       0x0cb003aa,
+       0x20f9003a,
+       0xc00fb003,
+       0xe800fb00,
+       0x36c00db0,
+       0x032a0460,
+       0x00000000,
+       0x8000e400,
+       0xfb003e40,
+       0x0fb003e8,
+       0x00fa403e,
+       0xc00f9403,
+       0xe000fa00,
+       0x3ed00f94,
+       0x03e00030,
+       0x00000000,
+       0x0150ff30,
+       0xfc0133c0,
+       0x8cd00332,
+       0x40ff003f,
+       0xc01ff907,
+       0x7c007c00,
+       0x3fe00ff0,
+       0x03c04430,
+       0x00000000,
+       0x81046608,
+       0xbc032340,
+       0x6dd48220,
+       0x10bac82e,
+       0xc00d1002,
+       0x2184b848,
+       0x2e400ba2,
+       0x02e04010,
+       0x00000000,
+       0x80056c00,
+       0xb3002280,
+       0x08300228,
+       0x04b9822e,
+       0xc00bb002,
+       0x6c04bb02,
+       0x2ed81ba2,
+       0x02e00050,
+       0x00000000,
+       0x08000400,
+       0xb3002000,
+       0x09300208,
+       0x00b1002c,
+       0xc0081002,
+       0x0400b300,
+       0x2cc09b00,
+       0x02c20100,
+       0x00000000,
+       0x00086c00,
+       0xb800b080,
+       0x4c900b28,
+       0x00f8003e,
+       0xc00bb003,
+       0x6c00f900,
+       0x3ec00f90,
+       0x03c00250,
+       0x00000000,
+       0xa01df400,
+       0xfc003f00,
+       0x0f500bf8,
+       0x00fd003f,
+       0xc00fd00b,
+       0xf408f500,
+       0x3d400fc0,
+       0x03e80770,
+       0x00000000,
+       0xc005f200,
+       0xcc803b48,
+       0x0ff80312,
+       0x04dc8003,
+       0xa00c4803,
+       0x3200cd80,
+       0x3f020ff8,
+       0x03f00070,
+       0x00000000,
+       0x8010e210,
+       0x88002274,
+       0x0b980226,
+       0x008b8022,
+       0xe048b812,
+       0x2e048321,
+       0x2e300bb8,
+       0x02e00430,
+       0x00000000,
+       0x8805c400,
+       0x832c2840,
+       0x0b300204,
+       0x00830020,
+       0xc0083002,
+       0x0c008188,
+       0x2c800b30,
+       0x26e20170,
+       0x00000000,
+       0xc015a600,
+       0x89802222,
+       0x0b900224,
+       0x002b0222,
+       0x8028b002,
+       0x2c808900,
+       0x2e800bb0,
+       0x42f00460,
+       0x00000000,
+       0x4011c300,
+       0x8980ba60,
+       0x0f300b26,
+       0x02c110b0,
+       0x800c990a,
+       0x2402c901,
+       0x3e304fb0,
+       0x23d00470,
+       0x00000000,
+       0xe001b002,
+       0xff00be40,
+       0x0f9063f2,
+       0x40de803f,
+       0xc04fe013,
+       0xfa05ff11,
+       0x2f040ff0,
+       0x03f80060,
+       0x00000000,
+       0x4010ad00,
+       0xcb103640,
+       0x0db083a0,
+       0x80ca0032,
+       0xc00fa003,
+       0x2820f900,
+       0x3a900fb0,
+       0x03900420,
+       0x00000000,
+       0xc8052d00,
+       0x8b402240,
+       0x08900342,
+       0x008a0422,
+       0x800b2002,
+       0x0910b900,
+       0x22c00bb0,
+       0x06f20040,
+       0x00000000,
+       0xe0054883,
+       0x8ae02440,
+       0x89340281,
+       0x40a200a0,
+       0x800b2002,
+       0x8800b200,
+       0x24400930,
+       0x02b80050,
+       0x00000000,
+       0x20011a61,
+       0x869021e0,
+       0x48d80256,
+       0x00a59021,
+       0xe00b580a,
+       0x9640b690,
+       0x25600378,
+       0x02c80040,
+       0x00000000,
+       0x48080c00,
+       0xcb00b4c0,
+       0x0d340384,
+       0x02e10030,
+       0xc00f1003,
+       0x8440f000,
+       0x3cc00f31,
+       0x03920200,
+       0x00000000,
+       0x401d9c00,
+       0xff003f80,
+       0x0fd003f4,
+       0x40d5003f,
+       0x800fd003,
+       0x7450fc00,
+       0x3b000ff0,
+       0x03d00660,
+       0x00000000,
+       0xa805c000,
+       0xeb80be80,
+       0x0fb00304,
+       0x02cb8032,
+       0xa00c3803,
+       0x0e00ca00,
+       0x30500cb0,
+       0x03ea0070,
+       0x00000000,
+       0x48119004,
+       0x8f042180,
+       0x0b500210,
+       0x00840021,
+       0xc0084002,
+       0x10008e00,
+       0xa1c44a70,
+       0x02d20460,
+       0x00000000,
+       0xc000be00,
+       0xa78829a0,
+       0x0b684253,
+       0x00048021,
+       0xe0094802,
+       0x12008480,
+       0x21e00878,
+       0x02f00020,
+       0x00000000,
+       0x4814ca04,
+       0x83c020a1,
+       0x0b000a5b,
+       0x008400a0,
+       0x8129400a,
+       0x10028000,
+       0x20c00a30,
+       0x02d30430,
+       0x00000000,
+       0xe8159820,
+       0xee003ba8,
+       0x0fa40368,
+       0x00ca4032,
+       0x801da403,
+       0x29048a00,
+       0x30800ca0,
+       0x03fa0460,
+       0x00000000,
+       0x4800e180,
+       0xf8623a00,
+       0x8fc483b0,
+       0x20fc083f,
+       0x000ec083,
+       0xf020f801,
+       0x3e200f80,
+       0x03d20030,
+       0x00000000,
+       0x0810e500,
+       0xd9403c42,
+       0x0c100724,
+       0x0069003e,
+       0x400f9003,
+       0xe400f900,
+       0x3ac00c90,
+       0x03c20430,
+       0x00000000,
+       0x80046400,
+       0x89402e40,
+       0x4894a204,
+       0x02d9002e,
+       0x400b9002,
+       0xe410b100,
+       0x16402890,
+       0x02e00010,
+       0x00000000,
+       0x18012c00,
+       0x99002e40,
+       0x48d002a4,
+       0x008d002e,
+       0x400bd000,
+       0xf400b900,
+       0x22c00890,
+       0x02c60040,
+       0x00000000,
+       0x08042400,
+       0x81406c40,
+       0x08d01ab4,
+       0x0095002d,
+       0x40035042,
+       0xd408bd40,
+       0x24480810,
+       0x02c20100,
+       0x00000000,
+       0xb80d6800,
+       0xda003e20,
+       0x2c800aa0,
+       0x02c8003e,
+       0x000f8003,
+       0xe000fc00,
+       0x3a200c80,
+       0x03ee0350,
+       0x00000000,
+       0x981df400,
+       0xfd803f51,
+       0x0f102374,
+       0x00d1003c,
+       0x400f9023,
+       0xe400fd80,
+       0x3e440f90,
+       0x03e60670,
+       0x00000000,
+       0x1805f400,
+       0xfd003040,
+       0x0c900304,
+       0x00c90032,
+       0x400c9003,
+       0x2400f902,
+       0x3fc00f90,
+       0x02c60070,
+       0x00000000,
+       0x3810e018,
+       0xb8062200,
+       0x28800a20,
+       0x028800a2,
+       0x0028800a,
+       0x3800b800,
+       0x2e800b80,
+       0x024e0430,
+       0x00000000,
+       0x0805c600,
+       0xb1842040,
+       0x08580214,
+       0x00850021,
+       0x60085002,
+       0x1400a100,
+       0x2c400b10,
+       0x02820170,
+       0x00000000,
+       0x1815a408,
+       0xb920224a,
+       0x08900234,
+       0x008d8023,
+       0x4008d002,
+       0x3400b900,
+       0x2e440b90,
+       0x02460460,
+       0x00000000,
+       0xa015e400,
+       0xf980b060,
+       0x8c940304,
+       0x20c94832,
+       0x500c1e03,
+       0x2640f910,
+       0x3e700f90,
+       0x03a80470,
+       0x00000000,
+       0x2801a640,
+       0xf9803e40,
+       0x0f9053e4,
+       0x80f9003e,
+       0x400f9403,
+       0xe404f900,
+       0x3e410f90,
+       0x034a0060,
+       0x00000000,
+       0x2810a000,
+       0xc8043200,
+       0x0cc003f0,
+       0x00c8403e,
+       0x000f8403,
+       0xe100e800,
+       0x3e104c80,
+       0x43ca0420,
+       0x00000000,
+       0x28053a68,
+       0x8e802280,
+       0x082002e8,
+       0x00820024,
+       0x800ba002,
+       0xe8109a00,
+       0x2e8008a0,
+       0x02ca0040,
+       0x00000000,
+       0x28054b02,
+       0x888ca480,
+       0x080002c1,
+       0x2284482d,
+       0x120b4482,
+       0xd400b300,
+       0x2cc00830,
+       0x22ca0050,
+       0x00000000,
+       0xa0011208,
+       0x87082580,
+       0x085002f8,
+       0x0284002d,
+       0x004b4012,
+       0xd0009730,
+       0x2de02870,
+       0x02e80040,
+       0x00000000,
+       0xa8081a00,
+       0xce8035a0,
+       0x0c5803d2,
+       0x00c4803d,
+       0x200f4803,
+       0xd600e788,
+       0x3ce00c78,
+       0x03ea0200,
+       0x00000000,
+       0x081d8004,
+       0xfb013a80,
+       0x2f8003e8,
+       0x00f80036,
+       0x000f8003,
+       0xe0009b02,
+       0x3ec00fb0,
+       0x03c20660,
+       0x00000000,
+       0x0005fe00,
+       0xcd8533a0,
+       0x0ec90b36,
+       0x00ed821f,
+       0x600fd803,
+       0xf600ff80,
+       0x3fe40cf8,
+       0x43c00070,
+       0x00000000,
+       0xa8119400,
+       0xdd602188,
+       0x0850021c,
+       0x44850025,
+       0x400b5002,
+       0xd025b700,
+       0x3dce0870,
+       0x02ea0460,
+       0x00000000,
+       0x0000bc00,
+       0x85022182,
+       0x8ad02234,
+       0x00a5002d,
+       0x400b5002,
+       0xd600b700,
+       0x2cc10870,
+       0x02c00020,
+       0x00000000,
+       0x2014c800,
+       0x81802080,
+       0x0801020d,
+       0x0281d024,
+       0x420b1d02,
+       0xc300b300,
+       0x28c02830,
+       0x02c80430,
+       0x00000000,
+       0xa8158940,
+       0x8820b2a4,
+       0x0e890229,
+       0x12ea013e,
+       0x808fac03,
+       0xed40ff88,
+       0x2ef008b0,
+       0x03ea0460,
+       0x00000000,
+       0x8000e000,
+       0xfb003e80,
+       0x0f9023e0,
+       0xc0fa0036,
+       0x800fa083,
+       0xe800fb00,
+       0x3ec80fb0,
+       0x03e00030,
+       0x00000000,
+       0x0110f800,
+       0xce003280,
+       0x0f101bbb,
+       0x00de083f,
+       0x800fe000,
+       0xfc00ff00,
+       0x3fc00ff0,
+       0x03c04430,
+       0x00000000,
+       0x81046008,
+       0x82802280,
+       0x0b800202,
+       0x008a002e,
+       0x800ba012,
+       0xe808bb00,
+       0x2ec00bb0,
+       0x02e04010,
+       0x00000000,
+       0x80052800,
+       0x8b802280,
+       0x4b800aac,
+       0x029b112e,
+       0xc48bb102,
+       0xec40bb00,
+       0x2ec00bb0,
+       0x02e00040,
+       0x00000000,
+       0x08042200,
+       0x8b002080,
+       0x0b900a24,
+       0x0283002c,
+       0xc00b3026,
+       0xc8003300,
+       0x2cc00b30,
+       0x02c20100,
+       0x00000000,
+       0x000d6800,
+       0xca043280,
+       0x0f9003ac,
+       0x00db003e,
+       0xc00fb003,
+       0xec00ff04,
+       0x3ec00fb0,
+       0x03c00350,
+       0x00000000,
+       0xa01dd800,
+       0xfe003f80,
+       0x87c007d4,
+       0x12ff003f,
+       0xc00ff003,
+       0xf800ff00,
+       0x3fc00ff0,
+       0x03e80670,
+       0x00000000,
+       0xc005d200,
+       0xf7003fe1,
+       0x4cf8033c,
+       0x00c6803b,
+       0xe02cf803,
+       0x3c00fc80,
+       0x3fe00fe2,
+       0x03300070,
+       0x00000000,
+       0x8010e200,
+       0xbfc42ee0,
+       0x48b8022f,
+       0x008b2820,
+       0xc208b002,
+       0x2c04ea00,
+       0x2ec00ba1,
+       0x02200430,
+       0x00000000,
+       0x8805c400,
+       0xb3102ec0,
+       0x0830128c,
+       0x41832028,
+       0xc0083002,
+       0x0c00b000,
+       0x2cc00b20,
+       0x0a220170,
+       0x00000000,
+       0xc015a488,
+       0xbb002ec1,
+       0x08b082ac,
+       0x108b4022,
+       0xc0083002,
+       0x2c00a900,
+       0x2ec00ba0,
+       0x02300460,
+       0x00000000,
+       0x4015e600,
+       0xfb023e40,
+       0x4c300bac,
+       0x02ca403a,
+       0xc00cb123,
+       0x3c00f800,
+       0x3ec04fa0,
+       0x03100470,
+       0x00000000,
+       0xe001be00,
+       0xff003fe4,
+       0x0ff0035c,
+       0x00ff003f,
+       0xc00ff80a,
+       0xfc00ee00,
+       0x3fc10f20,
+       0x02f80060,
+       0x00000000,
+       0x4010a500,
+       0xcb0032c0,
+       0x0fb503ec,
+       0x00f9403a,
+       0xc10cb003,
+       0x2c00fa00,
+       0x3ec00fa0,
+       0x03100420,
+       0x00000000,
+       0xc8052500,
+       0x8f0800e8,
+       0x48bc00fe,
+       0x00b90022,
+       0xc008b002,
+       0x3c00bb00,
+       0x2ec00bee,
+       0x03720040,
+       0x00000000,
+       0xe0054522,
+       0x83c8a0d2,
+       0x0b3800ce,
+       0xc0bb002a,
+       0xc028300a,
+       0x4c01b100,
+       0x2cc00b2a,
+       0x02380050,
+       0x00000000,
+       0x20013e00,
+       0x878021e0,
+       0x487c02de,
+       0x00bf8021,
+       0xe0087802,
+       0x5e00b780,
+       0x2de00b68,
+       0x02480040,
+       0x00000000,
+       0x48080440,
+       0xc30030c0,
+       0x0f3003cc,
+       0x00f30028,
+       0xc00cb003,
+       0x4c00f040,
+       0x3cc00f20,
+       0x03120200,
+       0x00000000,
+       0x401db400,
+       0xff003dc0,
+       0x0ff003fc,
+       0x40ff103f,
+       0xc00fd003,
+       0xbc00fd00,
+       0x3fc00fe0,
+       0x03d00660,
+       0x00000000,
+       0xa805e400,
+       0xf30030d2,
+       0x4c3a230e,
+       0x00cb003e,
+       0xc00db003,
+       0x2c00c968,
+       0x32c00fa0,
+       0x03ea0070,
+       0x00000000,
+       0x48119c00,
+       0xb728a1c0,
+       0x2870835d,
+       0x8087002f,
+       0xc0087003,
+       0x1c008701,
+       0x21c00b68,
+       0x02d20460,
+       0x00000000,
+       0xc0009600,
+       0xb79021e0,
+       0x0a68121e,
+       0x01a5c02d,
+       0xe019f802,
+       0x5c008640,
+       0x21e00b69,
+       0x02f00020,
+       0x00000000,
+       0x4814c620,
+       0xb30020c4,
+       0x0a36024c,
+       0x00a1002c,
+       0xc0089002,
+       0x0c028b80,
+       0x22c00b20,
+       0x02d20430,
+       0x00000000,
+       0xe8159920,
+       0xfa023280,
+       0x0ea00328,
+       0x02e6803e,
+       0x800da003,
+       0x6800ca00,
+       0x32800fe0,
+       0x03fa0460,
+       0x00000000,
+       0x4800e000,
+       0xf8003e00,
+       0x058003e0,
+       0x1098003e,
+       0x000f800b,
+       0xe000f800,
+       0x3e000f80,
+       0x03d20030,
+       0x00000000,
+       0x0810e400,
+       0xc90032c0,
+       0x0cb803e5,
+       0x00f90032,
+       0x400e9a03,
+       0x2400fba0,
+       0x32400c90,
+       0x03020430,
+       0x00000000,
+       0x80046406,
+       0x81402850,
+       0x089d02e6,
+       0x00b90022,
+       0x40089c02,
+       0x2500b980,
+       0x22500d14,
+       0x02280010,
+       0x00000000,
+       0x18052c00,
+       0x89082243,
+       0x4890a2e4,
+       0x00b90020,
+       0x400a9402,
+       0x2420b900,
+       0x22500894,
+       0x020e0040,
+       0x00000000,
+       0x08042600,
+       0x81002840,
+       0x091002c4,
+       0x00b940a0,
+       0x50081402,
+       0x0500b140,
+       0x20500996,
+       0x82020100,
+       0x00000000,
+       0xb80d6000,
+       0xc8813200,
+       0x2c8003e2,
+       0x00b80032,
+       0x000e800b,
+       0x0288f000,
+       0xb2000c82,
+       0x03260350,
+       0x00000000,
+       0x981df400,
+       0xf940be40,
+       0x0e9003e5,
+       0x00fd803e,
+       0x600f5a03,
+       0xe440f900,
+       0x3e400fd0,
+       0x0be60670,
+       0x00000000,
+       0x1801e400,
+       0xc5003f40,
+       0x0ed003f4,
+       0x00f90032,
+       0x414dd043,
+       0x2620c900,
+       0x32400c90,
+       0x03060070,
+       0x00000000,
+       0x3810e012,
+       0x88002e00,
+       0x088002e0,
+       0x00b80020,
+       0x0008800a,
+       0x21008200,
+       0x620028c0,
+       0x0a060430,
+       0x00000000,
+       0x0805c400,
+       0x81002cc1,
+       0x1a1002c4,
+       0x04b10060,
+       0x40091002,
+       0x04008500,
+       0x21410850,
+       0x02020170,
+       0x00000000,
+       0x1815a508,
+       0x89002e44,
+       0x189002e4,
+       0x00b90020,
+       0x40081002,
+       0x04028710,
+       0xa1400850,
+       0x02060460,
+       0x00000000,
+       0xa015c500,
+       0xc9013e40,
+       0x2e9493e4,
+       0x00f95812,
+       0x400d9803,
+       0x2400c900,
+       0x22400c90,
+       0x03280470,
+       0x00000000,
+       0x2801ad00,
+       0xf9083e60,
+       0x079003e4,
+       0x00f984be,
+       0x400f9203,
+       0xe400f900,
+       0xbe400f90,
+       0x03c20060,
+       0x00000000,
+       0x2810a020,
+       0xf8003200,
+       0x0e8003e0,
+       0x00f8403e,
+       0x000c8203,
+       0x2002c800,
+       0x32000cc0,
+       0x030a0420,
+       0x00000000,
+       0x28052900,
+       0xbec8a980,
+       0x08ea02f9,
+       0xc0ba003a,
+       0x8008e002,
+       0x28008a00,
+       0x228008e0,
+       0x020a0040,
+       0x00000000,
+       0x28054000,
+       0xb100a0c0,
+       0x0b3602c2,
+       0x003b002c,
+       0xc0283402,
+       0x0c00a300,
+       0xa0e00828,
+       0x020a0050,
+       0x00000000,
+       0xa0011000,
+       0xb5802980,
+       0x195002d0,
+       0x00b73029,
+       0xc8087002,
+       0x0c008700,
+       0x21d00864,
+       0x02280040,
+       0x00000000,
+       0xa8081200,
+       0xf58031a0,
+       0x0f7803d2,
+       0x00f7a03d,
+       0xe90cc80b,
+       0x1a00c580,
+       0x33a02cf8,
+       0x0b2a0200,
+       0x00000000,
+       0x081da0a0,
+       0xf9003c80,
+       0x4e8043e0,
+       0x00fb003e,
+       0xd00f8003,
+       0xe800f900,
+       0x3e800fb0,
+       0x03c20660,
+       0x00000000,
+       0x0005f200,
+       0xfd823160,
+       0x0e790332,
+       0x00cf803f,
+       0xf20cf803,
+       0x3c80cf80,
+       0x33600fc8,
+       0x03000070,
+       0x00000000,
+       0xa8119000,
+       0xb5402184,
+       0x08700350,
+       0x0087002f,
+       0xc4087402,
+       0x1c408718,
+       0x21400b40,
+       0x02aa0460,
+       0x00000000,
+       0x00009400,
+       0xb5002100,
+       0x0a700698,
+       0x0087102d,
+       0xc008c002,
+       0x18008500,
+       0x21000b58,
+       0x02000020,
+       0x00000000,
+       0x2014c600,
+       0xb1002080,
+       0x082402c0,
+       0x008b006e,
+       0xc0080802,
+       0x080081c0,
+       0x20000b10,
+       0x02880430,
+       0x00000000,
+       0xa815a020,
+       0xf9043082,
+       0x0ea003a4,
+       0x00cf103f,
+       0xc02c9a03,
+       0x2c028240,
+       0x22c00f20,
+       0x032a0460,
+       0x00000000,
+       0x8000e100,
+       0xf3003e80,
+       0x0f820160,
+       0x00fb003e,
+       0xc00f940b,
+       0xec01fa44,
+       0x3ec00fa0,
+       0x03e00030,
+       0x00000000,
+       0x0110f000,
+       0xc9003380,
+       0x0ff003c4,
+       0x00cf009b,
+       0xc00ee803,
+       0xe800fc0c,
+       0x33800fb0,
+       0x0b004430,
+       0x00000000,
+       0x81044100,
+       0x8bc122a0,
+       0x088c82e2,
+       0x028b0022,
+       0xc008ac02,
+       0xe800b840,
+       0x22800bb0,
+       0x02204010,
+       0x00000000,
+       0x80052422,
+       0x8b8022a0,
+       0x0b8812e3,
+       0x108b0062,
+       0xc00a8102,
+       0xec00ba00,
+       0x22410b80,
+       0x02a00040,
+       0x00000000,
+       0x08042000,
+       0x830020a0,
+       0x080002c0,
+       0x00830028,
+       0xc0080002,
+       0xcc08ba00,
+       0x20404b80,
+       0x02020100,
+       0x00000000,
+       0x000d6400,
+       0xcb023280,
+       0x4fa003e0,
+       0x00cb0033,
+       0xc00eb003,
+       0xe808f000,
+       0x32000f90,
+       0x03000350,
+       0x00000000,
+       0xa01df000,
+       0xfe003f80,
+       0x2fe003f0,
+       0x00ff0037,
+       0xc00f7003,
+       0xf805fc00,
+       0x3f000fd0,
+       0x03e80670,
+       0x00000000,
+       0xc005fe40,
+       0x4d0833e5,
+       0x04e80332,
+       0x00ccc023,
+       0x200cc813,
+       0x36008c00,
+       0x33400fc0,
+       0x03f00070,
+       0x00000000,
+       0x8010e400,
+       0x89c02248,
+       0x28b8022e,
+       0x00a1222a,
+       0xc008b002,
+       0x0410a000,
+       0x22410b84,
+       0x02e00430,
+       0x00000000,
+       0x8805cc00,
+       0x810022c8,
+       0x0030020c,
+       0x00800020,
+       0xc0083002,
+       0x24008200,
+       0x20400b01,
+       0x02e20170,
+       0x00000000,
+       0xc015af01,
+       0x89822240,
+       0x88b0122c,
+       0x00a10028,
+       0xc0083002,
+       0xa500aa08,
+       0x22420382,
+       0x82f00460,
+       0x00000000,
+       0x4015e700,
+       0x89c432c8,
+       0x08a20b08,
+       0x80c82032,
+       0x880ca203,
+       0x0d00cc40,
+       0x33540fa8,
+       0x03d00470,
+       0x00000000,
+       0xe001b400,
+       0xf4003c40,
+       0x0ff003f4,
+       0x28fd003f,
+       0x40afd00b,
+       0x7c40fc00,
+       0xbe610fe0,
+       0x03f80060,
+       0x00000000,
+       0x4010ae20,
+       0xd9463ed6,
+       0x4eb403e5,
+       0x08f84032,
+       0x500c9403,
+       0x2d10ce40,
+       0x32580ca4,
+       0x03d00420,
+       0x00000000,
+       0xc8052c00,
+       0x88012e60,
+       0x083902e4,
+       0x20b99022,
+       0x40089002,
+       0x2c208ac0,
+       0x2248089b,
+       0x22f20040,
+       0x00000000,
+       0xe0056d00,
+       0x81002cf0,
+       0x0a3802c6,
+       0x00b15020,
+       0x4829120a,
+       0x02228918,
+       0xa0902a18,
+       0x02f80050,
+       0x00000000,
+       0x20013a00,
+       0x05812f64,
+       0x086802da,
+       0x00b48021,
+       0xa0096802,
+       0x52008590,
+       0x21a01a58,
+       0x02c81040,
+       0x00000000,
+       0x48080c00,
+       0xc0503cc0,
+       0x0e2083c8,
+       0x00f100b2,
+       0x800da103,
+       0x0000c310,
+       0xb0800e10,
+       0x03d20200,
+       0x00000000,
+       0x401d9804,
+       0xec001f40,
+       0x0fe003f8,
+       0x00fc103f,
+       0x808ee003,
+       0xb800ff14,
+       0x3fa40de4,
+       0x03d00660,
+       0x00000000,
+       0xa805ee00,
+       0xc9003ec0,
+       0x80b003ce,
+       0x00c98032,
+       0xc00fb003,
+       0xe801d900,
+       0x32a00cb0,
+       0x03ea0070,
+       0x00000000,
+       0x48119802,
+       0x85012d40,
+       0x086002d0,
+       0x04840021,
+       0x000b4002,
+       0xf8008101,
+       0x20804870,
+       0x22d20460,
+       0x00000000,
+       0xc040be00,
+       0x86812da0,
+       0x386812d2,
+       0x049d8025,
+       0x200b4812,
+       0xda019781,
+       0x21a08878,
+       0x02f00020,
+       0x00000000,
+       0x4814c800,
+       0x82402c02,
+       0x086002d0,
+       0x04900025,
+       0x000b4002,
+       0xc2008300,
+       0x20800831,
+       0x02d20430,
+       0x00000000,
+       0xe815b880,
+       0xce103e90,
+       0x0ca003ea,
+       0x00da00b6,
+       0xa20fa8c7,
+       0xe820da00,
+       0xb3802ca4,
+       0x03fb0460,
+       0x00000000,
+       0x4800e010,
+       0xf8053f10,
+       0x2fc013f1,
+       0x42ec413b,
+       0x004fc013,
+       0xe004f800,
+       0x3f000f80,
+       0x83d20030,
+       0x00000000,
+       0x0810e440,
+       0xf1a03460,
+       0x2e9803e7,
+       0x00f9803e,
+       0x400e9003,
+       0xe400e180,
+       0x32400fb0,
+       0x03c20430,
+       0x00000000,
+       0x80046600,
+       0xb900227c,
+       0x189002e4,
+       0x00b9f02e,
+       0x40089002,
+       0xe500c980,
+       0x2a520b94,
+       0x02e00010,
+       0x00000000,
+       0x18052400,
+       0xb9002740,
+       0x2a9102f4,
+       0x00b9002d,
+       0x400ad202,
+       0xc500a928,
+       0x23400b94,
+       0x02c60040,
+       0x00000000,
+       0x08040700,
+       0xb1002350,
+       0x085802dc,
+       0x00b5402d,
+       0x50085402,
+       0xd5008540,
+       0x29500b16,
+       0x02c21100,
+       0x00000000,
+       0xb80d6000,
+       0xf8803600,
+       0x2a8023e0,
+       0x00f8003e,
+       0x000e8003,
+       0xf000e828,
+       0x330a0f88,
+       0x03ee0350,
+       0x00000000,
+       0x981dd600,
+       0xfd403c60,
+       0x0f9003e4,
+       0x04f5803e,
+       0x600f9803,
+       0xf600e980,
+       0x3e600f99,
+       0x03e60670,
+       0x00000000,
+       0x1805f400,
+       0xff003b40,
+       0x2dd001f4,
+       0x00f90032,
+       0x400c9003,
+       0x6400c988,
+       0x32620c90,
+       0x03060070,
+       0x00000000,
+       0x3810e000,
+       0xb8002200,
+       0x488012e0,
+       0x04b80122,
+       0x8048a012,
+       0x20028804,
+       0x360008e0,
+       0x0a0e0430,
+       0x00000000,
+       0x0805c400,
+       0xb1802840,
+       0x091002c4,
+       0x00b300a1,
+       0x40085002,
+       0x54048500,
+       0x21400850,
+       0x02820170,
+       0x00000000,
+       0x1815a400,
+       0xb9802250,
+       0x08b0c2e4,
+       0x00b10020,
+       0x40085002,
+       0x14008d20,
+       0x25c00850,
+       0x02860460,
+       0x00000000,
+       0xa015e440,
+       0xf9403850,
+       0x0d9403e4,
+       0x80f90032,
+       0x502c950b,
+       0x6600c900,
+       0x32400c99,
+       0x03a80470,
+       0x00000000,
+       0x2801a600,
+       0xf1003e42,
+       0x0f9043e6,
+       0x40fb003e,
+       0x500f9803,
+       0xe481f180,
+       0x3e402f90,
+       0x034a0060,
+       0x00000000,
+       0x2810a000,
+       0xd8003e00,
+       0x0d8401e0,
+       0x90f8003e,
+       0x010c8423,
+       0x3000cc00,
+       0x3b000cc0,
+       0x030a0420,
+       0x00000000,
+       0x28053960,
+       0x8a002f80,
+       0x08e082f8,
+       0x20ba002e,
+       0x8008a022,
+       0x2a048a00,
+       0x228008e4,
+       0x020a0040,
+       0x00000000,
+       0x28054c00,
+       0x91802ce0,
+       0x090822cb,
+       0x00b2082c,
+       0xe0083802,
+       0x0e228380,
+       0x20e04838,
+       0x800a0050,
+       0x00000000,
+       0xa0011200,
+       0x850c2d50,
+       0x084006d4,
+       0x00b6022d,
+       0xd0087400,
+       0x10208440,
+       0x21100844,
+       0x02280040,
+       0x00000000,
+       0xa8083600,
+       0xd7803fe0,
+       0x2d4813da,
+       0x00f5903f,
+       0xe02cf80b,
+       0x3e00cf84,
+       0xbbe02cf8,
+       0x0b2a0200,
+       0x00000000,
+       0x081da000,
+       0xfb033e40,
+       0x0f8003e4,
+       0x00fb403e,
+       0xc08fb003,
+       0xe000f800,
+       0x3e000f80,
+       0x03c20660,
+       0x00000000,
+       0x0005fa00,
+       0xfd803fac,
+       0x2dc903f2,
+       0x00ff9133,
+       0xe007fa03,
+       0xfe00cf80,
+       0x33e00ff8,
+       0x03000070,
+       0x00000000,
+       0xa8119d00,
+       0xb5002d55,
+       0x286102dc,
+       0x40b71021,
+       0xc4097002,
+       0xf0008410,
+       0x29000b40,
+       0x022a0460,
+       0x00000000,
+       0x00009040,
+       0xb5102f88,
+       0x996006d0,
+       0x00b50065,
+       0xc00b5242,
+       0xd8009500,
+       0x21c40b28,
+       0x02000020,
+       0x00000000,
+       0x2014c404,
+       0xb1002c58,
+       0x182482cb,
+       0x00b36026,
+       0xe0099002,
+       0xc6109200,
+       0x28380b18,
+       0x82080430,
+       0x00000000,
+       0xa815ad20,
+       0xf9403ed0,
+       0x2db403ea,
+       0x44f84026,
+       0xf40fbd83,
+       0xec22db00,
+       0xb2c20fb0,
+       0x472a0460,
+       0x00000000,
+       0x8000e580,
+       0xf9043e70,
+       0x0fa003e4,
+       0x40f8403a,
+       0x840da003,
+       0xe000e840,
+       0x3e100f01,
+       0x07e00030,
+       0x00000000,
+       0x0110f000,
+       0xff093c80,
+       0x0de023f2,
+       0x00fda033,
+       0xc02cf00b,
+       0x3c00fa00,
+       0xb3a00ff8,
+       0x13004430,
+       0x00000000,
+       0x81046300,
+       0xbb012e70,
+       0x08a80264,
+       0x40b94222,
+       0xb608a482,
+       0x2000b920,
+       0x22700b88,
+       0x02204010,
+       0x00000000,
+       0x80052600,
+       0xb9002ee0,
+       0x099802e0,
+       0x00b20826,
+       0x4008b002,
+       0x2449b300,
+       0x22440b91,
+       0x02200040,
+       0x00000000,
+       0x08040400,
+       0xb1002e40,
+       0x282042cc,
+       0x00b30060,
+       0x00082002,
+       0x0809b000,
+       0x20800b20,
+       0x02020100,
+       0x00000000,
+       0x000d6000,
+       0xf9003e00,
+       0x2da003e0,
+       0x00f200b6,
+       0x404c9003,
+       0x2000f800,
+       0x32000f80,
+       0x03000350,
+       0x00000000,
+       0xa01df000,
+       0xfd013f40,
+       0x0fe00070,
+       0x00ff007f,
+       0x000dc003,
+       0xfc00ff00,
+       0x3fc00ff0,
+       0x03e80670,
+       0x00000000,
+       0xc001f000,
+       0xd58431b0,
+       0x4c58033e,
+       0x00cf8033,
+       0xe40cf903,
+       0x7e408f80,
+       0x2fe04cf8,
+       0x02f00070,
+       0x00000000,
+       0x8010ea00,
+       0x09002280,
+       0x2898022c,
+       0x90ab2020,
+       0xc80a3202,
+       0x2c04ab80,
+       0x2cc80ab8,
+       0x02e00430,
+       0x00000000,
+       0x8805c000,
+       0xb0002288,
+       0x48104a0c,
+       0x20830820,
+       0xc0083002,
+       0x4c008300,
+       0x6ce20830,
+       0x02e20170,
+       0x00000000,
+       0xc015a888,
+       0xa8c0a2a0,
+       0xca98820c,
+       0x02a34222,
+       0xc00ab082,
+       0x0ca0ab00,
+       0x2cc00ab0,
+       0x02f00460,
+       0x00000000,
+       0x4015fa00,
+       0xf10030f0,
+       0x2c90032c,
+       0x00cb90b2,
+       0xf40cb003,
+       0x6c04cb4e,
+       0x3ee00cb0,
+       0x03d00470,
+       0x00000000,
+       0xe001aa08,
+       0xdd023f80,
+       0x0df003fc,
+       0x00fb003f,
+       0xc08ff903,
+       0xfe003f90,
+       0x3fe40ff0,
+       0x03f80060,
+       0x00000000,
+       0x4010ac00,
+       0xc840ba90,
+       0x0c940b2c,
+       0x04cb40ba,
+       0xc10cb003,
+       0xad00fb08,
+       0x3ec00cb0,
+       0x03d00420,
+       0x00000000,
+       0xc8050e40,
+       0x8840229c,
+       0x0a06022c,
+       0x008b0136,
+       0xc008b002,
+       0x2c00bb00,
+       0x2ec008b0,
+       0x02f20040,
+       0x00000000,
+       0xe0054a00,
+       0x23482080,
+       0x8830820c,
+       0x0a830022,
+       0xc008b01a,
+       0x0c00b340,
+       0x2cc02830,
+       0x02f80050,
+       0x00000000,
+       0x20011a22,
+       0x848023a4,
+       0x0af8021e,
+       0x028f912d,
+       0xe0287802,
+       0x1e00b780,
+       0x2de00878,
+       0x02c80040,
+       0x00000000,
+       0x48080840,
+       0xe0003084,
+       0x0c30032c,
+       0x04c300b0,
+       0xc00c3003,
+       0x0c007300,
+       0x3cc00c30,
+       0x03d20200,
+       0x00000000,
+       0x401db802,
+       0xfc101f85,
+       0x0ff001fc,
+       0x00ff0037,
+       0xc04ff001,
+       0xfc00ff00,
+       0x3fe40ff0,
+       0x03d00660,
+       0x00000000,
+       0xa805f800,
+       0xfa603280,
+       0x0c30032c,
+       0x00fb0032,
+       0xc00fb80b,
+       0x2c00fb00,
+       0x3ec00cb0,
+       0x03ea0070,
+       0x00000000,
+       0x48119800,
+       0xb4112180,
+       0x4870435c,
+       0x00b70021,
+       0xc00b7002,
+       0x1c00b700,
+       0x2d800870,
+       0x02d20460,
+       0x00000000,
+       0xc0009e00,
+       0xb6802120,
+       0x18f8021e,
+       0x00b78025,
+       0xe01b7802,
+       0x1e00b788,
+       0x2de00878,
+       0x02f00020,
+       0x00000000,
+       0x4814cd00,
+       0xb2c02480,
+       0x183d026c,
+       0x00bc4027,
+       0x200b4402,
+       0x3200b480,
+       0x2f200830,
+       0x02d20430,
+       0x00000000,
+       0xe815bb40,
+       0xfa08b1b0,
+       0x2c680328,
+       0x00fa4036,
+       0xa80fa303,
+       0x2820fa80,
+       0x3e822ca0,
+       0x03fa0460,
+       0x00000000,
+       0x4800e140,
+       0xf8043a04,
+       0x0f8003e0,
+       0x10f818ba,
+       0x200f8003,
+       0xe200f884,
+       0x3e204f80,
+       0x03d20030,
+       0x00000000,
+       0x0810e400,
+       0xfb083a4a,
+       0x0e908324,
+       0x00c90032,
+       0x400c9003,
+       0xe400c900,
+       0x3e400c90,
+       0x03c20430,
+       0x00000000,
+       0x80046430,
+       0xb1002251,
+       0x089002a4,
+       0x00d90022,
+       0x40089002,
+       0xe400d900,
+       0x2e400890,
+       0x02e00010,
+       0x00000000,
+       0x18012480,
+       0xb9006ad0,
+       0x0a900224,
+       0x008d0063,
+       0xc008d012,
+       0xf4008d00,
+       0x2f400890,
+       0x02c60040,
+       0x00000000,
+       0x08040400,
+       0xb1402050,
+       0x28900285,
+       0x009540a1,
+       0x50085402,
+       0xd5009500,
+       0x2d502810,
+       0x02c20100,
+       0x00000000,
+       0xb80d6200,
+       0xfa003a00,
+       0x2a800320,
+       0x00c00032,
+       0x000c0003,
+       0xe000ca00,
+       0x3d000c80,
+       0x03ee0350,
+       0x00000000,
+       0x981df504,
+       0xf3823d60,
+       0x0fd003e6,
+       0x00f9803e,
+       0x602f9803,
+       0xe600fb00,
+       0x3e600f90,
+       0x03e60670,
+       0x00000000,
+       0x1805d680,
+       0xc5003340,
+       0x4cd00324,
+       0x00c90036,
+       0x40859003,
+       0x64008900,
+       0x32410c90,
+       0x03c60070,
+       0x00000000,
+       0x3810e040,
+       0x88002200,
+       0x88800340,
+       0x008a0120,
+       0x00088002,
+       0x0000a800,
+       0x22000880,
+       0x02ce0430,
+       0x00000000,
+       0x0805c400,
+       0x890020c1,
+       0x09903204,
+       0x08810024,
+       0x40091002,
+       0x04128100,
+       0x20404810,
+       0x42c20170,
+       0x00000000,
+       0x1815a402,
+       0x8902a042,
+       0x28b00244,
+       0x00818020,
+       0x4608100a,
+       0x2500ab00,
+       0xa0400890,
+       0x02c60460,
+       0x00000000,
+       0xa015c580,
+       0xc9503250,
+       0x2d966324,
+       0x00c94036,
+       0x500d9883,
+       0x2400c100,
+       0x32600c90,
+       0x03e80470,
+       0x00000000,
+       0x2801a400,
+       0xf9023e68,
+       0x2f9203e4,
+       0x02f9003e,
+       0x608f9203,
+       0xe490fb22,
+       0x3e642f90,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xc8003a10,
+       0x4e840b20,
+       0x00c840ba,
+       0x100c8003,
+       0xa000c860,
+       0x3e000c80,
+       0x03ca0420,
+       0x00000000,
+       0x28053a00,
+       0x86803780,
+       0x08648228,
+       0x048a0022,
+       0x8108a002,
+       0x28008a00,
+       0x2e800da0,
+       0x02ca0040,
+       0x00000000,
+       0x28014e02,
+       0x83602882,
+       0x6a10c20c,
+       0x00830020,
+       0xc0083002,
+       0xac028100,
+       0x2cc00830,
+       0x02ca0050,
+       0x00000000,
+       0xa0011020,
+       0x874025c0,
+       0x8860021c,
+       0x00872221,
+       0xc0087002,
+       0x1c808500,
+       0x2dc80970,
+       0x02e80040,
+       0x00000000,
+       0xa8081200,
+       0xc78039a1,
+       0x0e58031e,
+       0x808fe131,
+       0xe02cf80b,
+       0x9f80c590,
+       0x3fe80c78,
+       0x03ea0200,
+       0x00000000,
+       0x081da002,
+       0xfb003c80,
+       0x0f2003ed,
+       0x42fb403e,
+       0xd02fb403,
+       0xec20f961,
+       0x3ed00fb0,
+       0x03c20660,
+       0x00000000,
+       0x0015fe00,
+       0xff8035a8,
+       0x0ce8433f,
+       0x00cfc023,
+       0xf30cfc93,
+       0x3e00cd80,
+       0x3ff60cf8,
+       0x03c00070,
+       0x00000000,
+       0xa8119900,
+       0xb7022198,
+       0x0870023c,
+       0x008f002b,
+       0xc808f002,
+       0x3c608500,
+       0x2fcc0871,
+       0x02ea0460,
+       0x00000000,
+       0x00009020,
+       0xb600258e,
+       0x8965821c,
+       0x40871025,
+       0xc0087002,
+       0x1c008510,
+       0x2dc00870,
+       0x02c00020,
+       0x00000000,
+       0x2014c000,
+       0xbac42080,
+       0x0930020c,
+       0x0483442c,
+       0xf8083402,
+       0x0c0a8190,
+       0x2cf20830,
+       0x02c80430,
+       0x00000000,
+       0xa8058a00,
+       0xfbc93681,
+       0x2d340b3c,
+       0x02cf48b7,
+       0xe82cfa0b,
+       0x3e00ca44,
+       0x3fd22cb0,
+       0x03ea0460,
+       0x00000000,
+       0x8000e000,
+       0xfb403e12,
+       0x0ea403ec,
+       0x00fb023a,
+       0xc00fb203,
+       0xec20fb40,
+       0x3ec00fb0,
+       0x03e00030,
+       0x00000000,
+       0x0100f800,
+       0xcf993380,
+       0x0ef0033c,
+       0x00f70833,
+       0xc00ff003,
+       0xbc00ce08,
+       0x3fc00ff0,
+       0x03c04430,
+       0x00000000,
+       0x81446204,
+       0x8bc2a030,
+       0x88ac022c,
+       0x04bb0036,
+       0xc00bb002,
+       0x2c00dbc0,
+       0x2ec00bb0,
+       0x02e04010,
+       0x00000000,
+       0x80052a00,
+       0x894122a0,
+       0x08b8022c,
+       0x04bb0062,
+       0xc10b3002,
+       0x8c000b20,
+       0x2ec00bb0,
+       0x02e00040,
+       0x00000000,
+       0x08042800,
+       0x80002200,
+       0x38b0020c,
+       0x00bb00a4,
+       0xc14b3002,
+       0x0c009300,
+       0x2cc00b30,
+       0x02c20100,
+       0x00000000,
+       0x000d6800,
+       0xc8003200,
+       0x28b00b3c,
+       0x00ff0033,
+       0xc00ff003,
+       0xbc00ca00,
+       0x3fc00fb0,
+       0x03c00350,
+       0x00000000,
+       0xa019f808,
+       0xf4003f00,
+       0x0b7003fc,
+       0x00ff043f,
+       0xc00ff003,
+       0xfc02ff00,
+       0x3fc00ff0,
+       0x03e80670,
+       0x00000000,
+       0xc005fc20,
+       0xcc8033e0,
+       0x0ff803be,
+       0x00d78233,
+       0xe00cf803,
+       0x3a40fc08,
+       0x33062cc1,
+       0x03f00070,
+       0x00000000,
+       0xc010fd00,
+       0x888022a0,
+       0x0b92822a,
+       0x00898022,
+       0x6008a202,
+       0x2808b840,
+       0x22180881,
+       0x02e00430,
+       0x00000000,
+       0xc800c4a0,
+       0xa00020c0,
+       0x0b20028c,
+       0x00b20022,
+       0x80889082,
+       0x8000b028,
+       0x20c00a20,
+       0x02e20170,
+       0x00000000,
+       0xc015a400,
+       0xa8002280,
+       0x0b800228,
+       0x00a80022,
+       0x00008002,
+       0xa000b000,
+       0x22c00aa8,
+       0x82f00460,
+       0x00000000,
+       0x0015f402,
+       0xe80032c0,
+       0x0fb0038e,
+       0x00fb0032,
+       0xc00cb003,
+       0xa980fb20,
+       0x30200e84,
+       0x03c80070,
+       0x00000000,
+       0xe001a402,
+       0xdc003f80,
+       0x0fd003f8,
+       0x40dd007f,
+       0x402fe02b,
+       0x7800ff40,
+       0xbf300dc0,
+       0x03f80460,
+       0x00000000,
+       0x44108c02,
+       0xca003ec6,
+       0x0ca083ec,
+       0x00fa8032,
+       0x840f9003,
+       0xe080fb00,
+       0x3ec00fa2,
+       0x03d00420,
+       0x00000000,
+       0xc0052e00,
+       0x80c02eb0,
+       0x088882e8,
+       0x203000a0,
+       0x040b0800,
+       0xe300ba50,
+       0x2e400b9c,
+       0x02f20040,
+       0x00000000,
+       0xe0054c80,
+       0x80182cc0,
+       0x08b402c4,
+       0x00b30020,
+       0xe00b3720,
+       0xcf00b080,
+       0x2c000b14,
+       0x02f00000,
+       0x00000000,
+       0xf0011e20,
+       0x84902fa0,
+       0x085802d2,
+       0x40b59021,
+       0x640b6802,
+       0xde00b498,
+       0x2d200b58,
+       0x02c80000,
+       0x00000000,
+       0x48080402,
+       0xc0003cc9,
+       0x0c2203c4,
+       0x40f21430,
+       0x840f1003,
+       0xc420f013,
+       0x3cc00f30,
+       0x83da0200,
+       0x00000000,
+       0x400db700,
+       0xfc023d80,
+       0x8fc003f0,
+       0x40fc103f,
+       0x040fc113,
+       0xf648ff54,
+       0x3fd40fe4,
+       0x03d00620,
+       0x00000000,
+       0xa805e502,
+       0xc08832e1,
+       0x0c300304,
+       0x00c38032,
+       0xe00cb803,
+       0x2e00cba0,
+       0x12080c9a,
+       0x03020070,
+       0x00000000,
+       0xc8118400,
+       0x84003580,
+       0x08500210,
+       0x00850021,
+       0x40886002,
+       0x1c008101,
+       0x20c00830,
+       0x02120060,
+       0x00000000,
+       0x80009e00,
+       0x8ec025e0,
+       0x48e80036,
+       0x008e8023,
+       0xa108d802,
+       0x3601a780,
+       0x21a04878,
+       0x46880020,
+       0x00000000,
+       0x4814ee42,
+       0x80802480,
+       0x08800200,
+       0x00800020,
+       0x00080002,
+       0x0401a300,
+       0x20e40838,
+       0x0a120430,
+       0x00000000,
+       0xe815a800,
+       0xca803680,
+       0x0ca00b08,
+       0x024a00b2,
+       0x802ca00b,
+       0x2802ea61,
+       0xb2806ca0,
+       0x43ba0020,
+       0x00000000,
+       0x4800e000,
+       0xf8003e00,
+       0x2f8403e0,
+       0x00f8003e,
+       0x000f8003,
+       0xf100584a,
+       0x34080f89,
+       0x00d20420,
+       0x00000000,
+       0x0810e680,
+       0xcb803e40,
+       0x8f990325,
+       0x00c9003e,
+       0x480f9103,
+       0xe680fb10,
+       0x3ec00cb0,
+       0x03c20420,
+       0x00000000,
+       0x80046700,
+       0x89502e41,
+       0x0b960a25,
+       0x00d9002e,
+       0x400b9c02,
+       0xe400b940,
+       0x2e40089c,
+       0x02e00000,
+       0x00000000,
+       0x18012420,
+       0x89002e44,
+       0x0bd00234,
+       0x008d002f,
+       0x400bd002,
+       0xf400b900,
+       0x2ec00891,
+       0x82c60040,
+       0x00000000,
+       0x08040502,
+       0x81002c40,
+       0x0b540214,
+       0x0095002d,
+       0xc00b7002,
+       0xd400b120,
+       0x2c490812,
+       0x02c20100,
+       0x00000000,
+       0xb80d6202,
+       0xca003e00,
+       0x0f800328,
+       0x00c8003e,
+       0x000f8503,
+       0xf940fad0,
+       0x3e342c8d,
+       0x03ee0750,
+       0x00000000,
+       0x981df700,
+       0xf9003c40,
+       0x4fb813e4,
+       0x04fb013c,
+       0xc04f1813,
+       0xc608bb90,
+       0x3e640fb9,
+       0x13ef0670,
+       0x00000000,
+       0x9805d600,
+       0xcd003240,
+       0x0bd00214,
+       0x00fd0032,
+       0x400c9003,
+       0xe410c900,
+       0x32400f90,
+       0x030e0070,
+       0x00000000,
+       0x3810e392,
+       0x88002201,
+       0x0b800220,
+       0x10b80022,
+       0x000d8002,
+       0xc0008800,
+       0x22800b80,
+       0x02860030,
+       0x00000000,
+       0x4805c520,
+       0x81002040,
+       0x0b900204,
+       0x00b90020,
+       0x40081002,
+       0xc4018100,
+       0x20400b10,
+       0x02920020,
+       0x00000000,
+       0x1815a400,
+       0x8b202240,
+       0x4b900224,
+       0x00b90022,
+       0x40099002,
+       0xc4008100,
+       0x22480b12,
+       0x02860420,
+       0x00000000,
+       0xa015e602,
+       0xc980b240,
+       0x0f100b24,
+       0x00f100b0,
+       0x400c9003,
+       0xe402c980,
+       0xb2500f9c,
+       0x03a00020,
+       0x00000000,
+       0x680184a0,
+       0xf90c3ec0,
+       0x0f9003e4,
+       0x00f9003e,
+       0xc00fbc03,
+       0xef08fb20,
+       0x3e400fb0,
+       0x03da0420,
+       0x00000000,
+       0x2810a000,
+       0xc8003e00,
+       0x0f8593e0,
+       0x02c8403e,
+       0x100f8203,
+       0xe000c800,
+       0x3e102c80,
+       0x030a0420,
+       0x00000000,
+       0x28053800,
+       0x86202e84,
+       0x0be002f9,
+       0x008e002e,
+       0x800bac22,
+       0xea208a20,
+       0x2f8008a4,
+       0x01020000,
+       0x00000000,
+       0x28054900,
+       0x83802c54,
+       0x0b2802c8,
+       0x8080002c,
+       0x440b3802,
+       0xcc219360,
+       0x2c80083a,
+       0x00420050,
+       0x00000000,
+       0xa0011800,
+       0x87002d40,
+       0x0b6002fa,
+       0x0086002d,
+       0xc00b6402,
+       0xdc009500,
+       0x2da00874,
+       0x02080040,
+       0x00000000,
+       0xa8081200,
+       0xc6803d64,
+       0x0f6803d2,
+       0x00c4802d,
+       0xa40f7803,
+       0xde42d788,
+       0x3fa00c28,
+       0x0b4a0600,
+       0x00000000,
+       0x081da002,
+       0xfa003e40,
+       0x0fb003e8,
+       0x00fa003e,
+       0xc00f9423,
+       0xe5802a20,
+       0x3e840f94,
+       0x03c20260,
+       0x00000000,
+       0x4005fa00,
+       0xcd803fe4,
+       0x0ff903be,
+       0x00fc802f,
+       0xe40ffc03,
+       0x3640fe80,
+       0x3fa04fdc,
+       0x01d80020,
+       0x00000000,
+       0xa8119800,
+       0x84002d84,
+       0x0be1821c,
+       0x40b61825,
+       0xc60b6102,
+       0x19c0b500,
+       0x2d800b41,
+       0x026a0020,
+       0x00000000,
+       0x10008000,
+       0x84082dc0,
+       0x9b7006d0,
+       0x00b4002d,
+       0x840b4002,
+       0x1001b400,
+       0x2d800b60,
+       0x02c00020,
+       0x00000000,
+       0x6814e400,
+       0x80002c00,
+       0x0b100248,
+       0x00b20024,
+       0xc00b0002,
+       0x0261b000,
+       0x2c900b02,
+       0x06500420,
+       0x00000000,
+       0xb815a800,
+       0xcb803e90,
+       0x0f8803e9,
+       0x08f8803e,
+       0x600b9403,
+       0x2a00fb18,
+       0x3f960fb2,
+       0x43ea0060,
+       0x00000000,
+       0x8000e000,
+       0xfb403e98,
+       0x0f9403a9,
+       0x80f80036,
+       0xc00fb203,
+       0xed08f340,
+       0x3e800f90,
+       0x01680430,
+       0x00000000,
+       0x8010f000,
+       0xcf003f88,
+       0x8fc003f8,
+       0x00fc803f,
+       0x9007d223,
+       0x3700fe80,
+       0x33800ff2,
+       0x23c04430,
+       0x00000000,
+       0x80047a01,
+       0x88802e00,
+       0x0b9a02ea,
+       0x80b8002e,
+       0x600e9a02,
+       0x24009a18,
+       0x22800b90,
+       0x02e04010,
+       0x00000000,
+       0x80052880,
+       0x8b802e02,
+       0x0b9802ea,
+       0x00b8102e,
+       0xc00b2002,
+       0x2800b900,
+       0x22800ba4,
+       0x02e00050,
+       0x00000000,
+       0x08040000,
+       0x82002c01,
+       0x0b1002c8,
+       0x00b0002c,
+       0xc00b2002,
+       0x08009100,
+       0xa0800b00,
+       0x02c20000,
+       0x00000000,
+       0x800d6000,
+       0x8b003e00,
+       0x0f9003e8,
+       0x00f8003e,
+       0x800f0003,
+       0x2000f800,
+       0x32808fa0,
+       0x03c00610,
+       0x00000000,
+       0xa01dfc00,
+       0xfc003f00,
+       0x07d003f8,
+       0x00fc003f,
+       0x400ec003,
+       0xf0005c00,
+       0x3f800fc0,
+       0x03e80670,
+       0x00000000,
+       0xc005fe40,
+       0x3480230c,
+       0x0df803b2,
+       0x00fc8037,
+       0xc00ec803,
+       0xfc80cf91,
+       0x33cc0df0,
+       0x43300070,
+       0x00000000,
+       0x8010ec88,
+       0x89802244,
+       0x08b84222,
+       0x00bb8021,
+       0xd8088812,
+       0xfda0a322,
+       0x2bcc08b0,
+       0x02300430,
+       0x00000000,
+       0x8805cc89,
+       0xb0042008,
+       0x09b01280,
+       0x10b80024,
+       0xd20b3002,
+       0xcc408320,
+       0x20c82930,
+       0x02320170,
+       0x00000000,
+       0xc0158c13,
+       0x88002270,
+       0x68b80222,
+       0x20bb1022,
+       0xc028b042,
+       0xcc00ab00,
+       0x0ac008b0,
+       0x02300460,
+       0x00000000,
+       0x4015ec00,
+       0xf0483221,
+       0x0dbc03ac,
+       0x08f0c436,
+       0xc00e8003,
+       0xec02cb00,
+       0x32c00df0,
+       0x03000470,
+       0x00000000,
+       0xe001bc0a,
+       0xfd943e40,
+       0x03d003fc,
+       0x00ff003f,
+       0xc00fcc93,
+       0xfc10ff00,
+       0x3ec14bb0,
+       0x03fc0060,
+       0x00000000,
+       0x4010ac00,
+       0xd8063212,
+       0x2fb603a4,
+       0x20f8083e,
+       0xc00fa003,
+       0xec02db00,
+       0x30c02cb0,
+       0x03140420,
+       0x00000000,
+       0xc8052f60,
+       0x88822220,
+       0x081202a4,
+       0x00bba02f,
+       0xc028a8c2,
+       0xfc108b00,
+       0x23c008f4,
+       0x02320040,
+       0x00000000,
+       0xe0054c02,
+       0x92802260,
+       0x0b340200,
+       0x08b0806e,
+       0xc0090802,
+       0xcc009b00,
+       0x28c008b2,
+       0x02380050,
+       0x00000000,
+       0x20011e40,
+       0x8491216b,
+       0x08f90696,
+       0x00b4816d,
+       0xe4026902,
+       0xde089781,
+       0x69e00878,
+       0x063c0040,
+       0x00000000,
+       0x48082c00,
+       0xd008b040,
+       0x0f350308,
+       0x80f0603c,
+       0xc40d1403,
+       0xec00d300,
+       0x18c00c30,
+       0x03120200,
+       0x00000000,
+       0x401dbc04,
+       0xec443fc8,
+       0x8f5143fe,
+       0x20fc002f,
+       0xc40df043,
+       0xfc62ef10,
+       0x37c00ff0,
+       0x0bd00660,
+       0x00000000,
+       0xa805ec00,
+       0xfa403640,
+       0x8db02329,
+       0x20fb603e,
+       0xce0e9d83,
+       0x2d80cb80,
+       0x32cd0db0,
+       0x1b2a0070,
+       0x00000000,
+       0x4811bc00,
+       0x840223c0,
+       0x0840421c,
+       0x00b7542d,
+       0xc0087202,
+       0x3ca0a700,
+       0x21c40870,
+       0x02320460,
+       0x00000000,
+       0xc0409e00,
+       0xb6802560,
+       0x29f8021a,
+       0x80b7a02d,
+       0xe808d80a,
+       0x1e008780,
+       0x20e00938,
+       0x02200020,
+       0x00000000,
+       0x4814ec04,
+       0x820020e0,
+       0x0806020e,
+       0x00b3a02e,
+       0xc0083002,
+       0x0c00a300,
+       0x20c088b0,
+       0x02120430,
+       0x00000000,
+       0xe815a800,
+       0xfa2437a0,
+       0x2de20b2a,
+       0x20fa423e,
+       0x802ea003,
+       0x2801ca00,
+       0xb2800da0,
+       0x033a0460,
+       0x00000000,
+       0x4800e000,
+       0xe8013e04,
+       0x2f8003e0,
+       0x80f8503e,
+       0x002f8603,
+       0xc004f802,
+       0xbe008f80,
+       0x23d20030,
+       0x00000000,
+       0x0810e482,
+       0xcb003852,
+       0x0d980226,
+       0x40f9013e,
+       0x400c9203,
+       0xe404e900,
+       0x2e402e9a,
+       0x03020430,
+       0x00000000,
+       0x80006484,
+       0x81002250,
+       0x0819036c,
+       0x003b402e,
+       0x4008be02,
+       0xe4008900,
+       0x2e41089c,
+       0x02200010,
+       0x00000000,
+       0x18052403,
+       0x89202a42,
+       0x099002a4,
+       0x00b9002e,
+       0x40089052,
+       0xe412a900,
+       0x2c400a94,
+       0x02860040,
+       0x00000000,
+       0x08040500,
+       0x81002050,
+       0x081042c4,
+       0x00b1042c,
+       0x50e01002,
+       0xc5088140,
+       0x2c502812,
+       0x02020100,
+       0x00000000,
+       0xb80d6000,
+       0xc800ba20,
+       0x0d8043a0,
+       0x00fa003c,
+       0x21048003,
+       0xe200e000,
+       0x3e208e8c,
+       0x8bae0350,
+       0x00000000,
+       0x981de612,
+       0xf1013f70,
+       0x0f501364,
+       0x00f9000e,
+       0x700f1013,
+       0xe700f984,
+       0x3e700f9a,
+       0x83e60670,
+       0x00000000,
+       0x1805f400,
+       0xf1013f40,
+       0x0e900324,
+       0x08c900b2,
+       0x400d900b,
+       0x2400c900,
+       0x32408dda,
+       0x03260070,
+       0x00000000,
+       0x3810c000,
+       0x88002e00,
+       0x088023e0,
+       0x0088002a,
+       0x0008a002,
+       0x2004a001,
+       0x2a000c80,
+       0x0a0e0430,
+       0x00000000,
+       0x0805c400,
+       0xb1006c40,
+       0x0a900a24,
+       0x10890420,
+       0x40299002,
+       0x04008100,
+       0x20400914,
+       0x02120170,
+       0x00000000,
+       0x1815a400,
+       0x89636e44,
+       0x089802e4,
+       0x8289000a,
+       0x40889022,
+       0x0400a100,
+       0x2a400810,
+       0x02060460,
+       0x00000000,
+       0xa015e400,
+       0xf9003e49,
+       0x4e900304,
+       0x04c10012,
+       0x400d1503,
+       0x2408c900,
+       0x32400d90,
+       0x03280470,
+       0x00000000,
+       0x2801a408,
+       0xfb003e60,
+       0xafb043e6,
+       0x00f90026,
+       0x400f9883,
+       0xe400f904,
+       0x3e400f90,
+       0x03da0060,
+       0x00000000,
+       0x2810a020,
+       0xc8003a00,
+       0x7e8313a0,
+       0x90f80134,
+       0x002e8403,
+       0xe010c800,
+       0x32000f80,
+       0x030a0420,
+       0x00000000,
+       0x28053800,
+       0x8a0a2380,
+       0x882c2a2b,
+       0x10ba482e,
+       0x800da002,
+       0xe802da00,
+       0xa2800be0,
+       0x020a0040,
+       0x00000000,
+       0x28056c00,
+       0x82002ef8,
+       0x0a28024d,
+       0x00b3e424,
+       0xc0083002,
+       0xcc108300,
+       0x22c00b30,
+       0x0a0a0050,
+       0x00000000,
+       0xa0011c20,
+       0x848024d0,
+       0x08f0065d,
+       0x00b5006c,
+       0xc8017002,
+       0xcc801710,
+       0x21c40b30,
+       0x02280040,
+       0x00000000,
+       0xa8081e00,
+       0x84803d60,
+       0x0a48835e,
+       0x28f68035,
+       0xf00c6903,
+       0xde404780,
+       0x31e00f48,
+       0x032a0200,
+       0x00000000,
+       0x081dac02,
+       0xb8013a40,
+       0x0b10032c,
+       0x80f82c2e,
+       0xc20f9003,
+       0xecc0fb08,
+       0x3ed00f80,
+       0x13c20660,
+       0x00000000,
+       0x0005fe00,
+       0xe4c033a4,
+       0x0e780336,
+       0x00ff803f,
+       0xe02ef803,
+       0xfe04cf80,
+       0x37f01df8,
+       0x03100070,
+       0x00000000,
+       0xa8119d82,
+       0x8408214c,
+       0x08720210,
+       0x00b4002f,
+       0xc0086002,
+       0xdc028f00,
+       0x21c01872,
+       0x0a2a0460,
+       0x00000000,
+       0x00009001,
+       0xac002100,
+       0xaaf0061e,
+       0x00b7002d,
+       0xc0086002,
+       0xdc408710,
+       0x25c00940,
+       0x12040020,
+       0x00000000,
+       0x2014cc00,
+       0x80c22270,
+       0x08140609,
+       0x80b0082c,
+       0xc0280802,
+       0xcc008b00,
+       0xa2c00880,
+       0x021a0430,
+       0x00000000,
+       0xa815ac00,
+       0xe8403258,
+       0x2e9c8329,
+       0x00fb8a3f,
+       0xc04e9803,
+       0xfc01cf00,
+       0x37c00d90,
+       0x032a0460,
+       0x00000000,
+       0x8000ed06,
+       0xf8043e40,
+       0x0f8003ed,
+       0x00fb003e,
+       0xc00f9103,
+       0xec01fb00,
+       0x3ec04f80,
+       0x03e40030,
+       0x00000000,
+       0x0110fe00,
+       0xcc883e02,
+       0x2ffa81f2,
+       0x00fe000d,
+       0xc02cea03,
+       0xdc00cf04,
+       0x32c12ce4,
+       0x0b200430,
+       0x00000000,
+       0x81046c10,
+       0x88812e70,
+       0x08a002e5,
+       0x24ba002e,
+       0xc0089002,
+       0xec008b00,
+       0x22c008b4,
+       0x02200010,
+       0x00000000,
+       0x80050c42,
+       0x88202e30,
+       0x2b9092e0,
+       0x48b9106e,
+       0xc10a9042,
+       0xec008b00,
+       0x2ac00894,
+       0x02a00040,
+       0x00000000,
+       0x08040c00,
+       0x80002c40,
+       0x281002c0,
+       0x00b0042c,
+       0xc0200002,
+       0xcc008300,
+       0x28c00800,
+       0x02020100,
+       0x00000000,
+       0x000d4c00,
+       0xc8023e00,
+       0x2fb003e0,
+       0x00f9023f,
+       0xc104a003,
+       0xdc00cf00,
+       0x3bc00c20,
+       0x03a00350,
+       0x00000000,
+       0xa01dfc00,
+       0xfc013f40,
+       0x2ff013f0,
+       0x00fc013f,
+       0xc00fc003,
+       0xfc00ff00,
+       0x37c00ff0,
+       0x13e80670,
+       0x00000000,
+       0xc005f600,
+       0xcd803320,
+       0x0c480330,
+       0x00cf0033,
+       0xc00cf003,
+       0xf200fc80,
+       0x3f210cc8,
+       0x07300070,
+       0x00000000,
+       0x8010e402,
+       0x8b002280,
+       0x0890022c,
+       0x00880022,
+       0x20280202,
+       0xec24bb00,
+       0x2ec008b8,
+       0x02200430,
+       0x00000000,
+       0x8805e200,
+       0x83002080,
+       0x08900208,
+       0x408000a0,
+       0xc04830c2,
+       0xcc88b300,
+       0x2a816a90,
+       0x02220170,
+       0x00000000,
+       0xc015a600,
+       0x83002080,
+       0x08b80a0e,
+       0x008b0022,
+       0x03888012,
+       0xe000b808,
+       0x6e400aa0,
+       0x12300460,
+       0x00000000,
+       0x0015e600,
+       0xcb103284,
+       0x2c980327,
+       0x22cf0033,
+       0xf00ce103,
+       0xec00fa40,
+       0x3e680eb0,
+       0x0a100470,
+       0x00000000,
+       0xe001b400,
+       0xff80bfa0,
+       0x0fd003e4,
+       0x00fc003f,
+       0x040fd803,
+       0xf000fd00,
+       0x3fa61dc9,
+       0x03f80060,
+       0x00000000,
+       0x4010a400,
+       0xfb003280,
+       0x0cb00324,
+       0x02f00830,
+       0xc00ca113,
+       0x6004798c,
+       0x3ec40fa0,
+       0x03100420,
+       0x00000000,
+       0xc8050400,
+       0xbb002280,
+       0x08300224,
+       0x008bc2e2,
+       0x200d9802,
+       0x2f80bac0,
+       0x0c300310,
+       0x43720040,
+       0x00000000,
+       0xe0054480,
+       0xb300a080,
+       0x28100204,
+       0x00b38020,
+       0x20081002,
+       0x4080b100,
+       0x2cf00320,
+       0x02380050,
+       0x00000000,
+       0x60011e00,
+       0xb78021a0,
+       0x08d8021e,
+       0x00809821,
+       0xe4096c02,
+       0x1e409680,
+       0x2d209b58,
+       0x02480040,
+       0x00000000,
+       0x48080c00,
+       0xbb103280,
+       0x0c34030c,
+       0x80f00020,
+       0x020c1003,
+       0x4c40f200,
+       0x7c400f32,
+       0x03120200,
+       0x00000000,
+       0x401dbc00,
+       0xff043f80,
+       0x0ff10bfc,
+       0x04ff003d,
+       0xc00fe003,
+       0xf040fd00,
+       0x7f840f40,
+       0x03d00660,
+       0x00000000,
+       0xa805ec00,
+       0xcb003e80,
+       0x0f900324,
+       0x04fb00b6,
+       0x002c8003,
+       0x2e00cb00,
+       0x7ea00c9e,
+       0x032a0070,
+       0x00000000,
+       0x48119c00,
+       0x87002d80,
+       0x0bd0021c,
+       0x00b00020,
+       0xc0087012,
+       0x10008400,
+       0x6d400860,
+       0x82120460,
+       0x00000000,
+       0xc0009e18,
+       0x87802da0,
+       0x0b78025e,
+       0x00b48221,
+       0x20080802,
+       0x92008480,
+       0x2f2008c8,
+       0x02300020,
+       0x00000000,
+       0x4814cc00,
+       0x83802c84,
+       0x4b3d0a4e,
+       0x00b30028,
+       0xc0083002,
+       0x8c088390,
+       0x2cc02838,
+       0x82120430,
+       0x00000000,
+       0xe8159a00,
+       0xcaa03da1,
+       0x0fe00b7a,
+       0xc0fa0132,
+       0x800ca403,
+       0xaa83ca00,
+       0x3e940cac,
+       0x0b3a0460,
+       0x00000000,
+       0x4800e242,
+       0xf8003e00,
+       0x0f8003a0,
+       0x10fc4033,
+       0x000f421b,
+       0x7084fc00,
+       0x2f100fc1,
+       0x03d20030,
+       0x00000000,
+       0x0810e400,
+       0xd9003240,
+       0x0d918304,
+       0x10c90030,
+       0x400c9003,
+       0x2680f900,
+       0x3e600f90,
+       0x03c20430,
+       0x00000000,
+       0x80046400,
+       0x81442250,
+       0x081c0225,
+       0x00896222,
+       0x40289402,
+       0x2700b9d0,
+       0x2e700b90,
+       0x02e00010,
+       0x00000000,
+       0x18052400,
+       0x9940a250,
+       0x09940225,
+       0x02810023,
+       0x4008d882,
+       0x3430bd00,
+       0x2f480bd0,
+       0x02c60040,
+       0x00000000,
+       0x08042440,
+       0x89102244,
+       0x08910604,
+       0xc08530a1,
+       0x48085202,
+       0x1404b580,
+       0x2d400b50,
+       0x02c20100,
+       0x00000000,
+       0xb80d4100,
+       0xd8403210,
+       0x0d840b23,
+       0x02c8c0b2,
+       0x200c8d03,
+       0x0140f850,
+       0x3e940fc0,
+       0x03ee0350,
+       0x00000000,
+       0x981df480,
+       0xfd203f48,
+       0x07f203f4,
+       0xc0f9303e,
+       0x440f992b,
+       0xe600f980,
+       0x3c610f10,
+       0x03e60670,
+       0x00000000,
+       0x9805e400,
+       0xc9007250,
+       0x0c140325,
+       0x00c9e813,
+       0x600c9a03,
+       0x2400cd00,
+       0x33400cd0,
+       0x03c60070,
+       0x00000000,
+       0x3810e2a0,
+       0x88a0a228,
+       0x28880a22,
+       0x828ce022,
+       0x00088522,
+       0x28008a00,
+       0x22000880,
+       0x02ce0430,
+       0x00000000,
+       0x0805d480,
+       0x85282140,
+       0x08500214,
+       0x80852020,
+       0x50081002,
+       0x04008104,
+       0x20400b10,
+       0x02c20170,
+       0x00000000,
+       0x18158590,
+       0x85012141,
+       0x08500214,
+       0x048d0822,
+       0x40089002,
+       0x04018100,
+       0x20c82b94,
+       0x42c60460,
+       0x00000000,
+       0xa011e702,
+       0xc9802260,
+       0x0c950324,
+       0x00c98032,
+       0x400c9003,
+       0x2602c981,
+       0x32600f90,
+       0x03e80470,
+       0x00000000,
+       0x2801a600,
+       0xf9203e50,
+       0x0f9803e4,
+       0x40f120bc,
+       0x402f300b,
+       0xee44f9c2,
+       0xbe604c90,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xc8003200,
+       0x0c800320,
+       0x02cc0012,
+       0x008f8003,
+       0x2004f80d,
+       0x32014c84,
+       0x41ca0420,
+       0x00000000,
+       0x28052800,
+       0x8a002280,
+       0x08a00368,
+       0x008e80a2,
+       0xa00bac02,
+       0x2808bee0,
+       0x238808a0,
+       0x02ca0040,
+       0x00000000,
+       0x28054e00,
+       0x838020e0,
+       0x0830020e,
+       0x00828020,
+       0xc00b3082,
+       0x0d20b381,
+       0xa0d80030,
+       0x02ca0050,
+       0x00000000,
+       0xa0011900,
+       0x874021d0,
+       0x08f0025d,
+       0x00820821,
+       0xd00b6402,
+       0x1c28b500,
+       0x21402870,
+       0x02e80040,
+       0x00000000,
+       0xa8083e02,
+       0xce80b3a0,
+       0x2c48033a,
+       0x02c78031,
+       0xe00f1883,
+       0x1e20fe80,
+       0x33e00c78,
+       0x03ea0200,
+       0x00000000,
+       0x081da800,
+       0xfa003e80,
+       0x0f8003e8,
+       0x00fb003e,
+       0xc00fa40b,
+       0xe500f800,
+       0x3e400fb0,
+       0x03c20660,
+       0x00000000,
+       0x4005f600,
+       0xcd803b60,
+       0x0cf80336,
+       0x00fc8033,
+       0x602cf80b,
+       0x1a40cf84,
+       0x3fa00f78,
+       0x0b000070,
+       0x00000000,
+       0xa8119040,
+       0x85002140,
+       0x08708214,
+       0x00bc1821,
+       0x46085082,
+       0x1c008518,
+       0x2d020b70,
+       0x02aa0460,
+       0x00000000,
+       0x1800b400,
+       0x8c002b02,
+       0x08400210,
+       0x00b58020,
+       0x40084102,
+       0x35018600,
+       0x2d800b70,
+       0x82000020,
+       0x00000000,
+       0x6014e002,
+       0x80902000,
+       0x080e0201,
+       0x00b92120,
+       0x48080402,
+       0x04008240,
+       0x2c280b3c,
+       0x02880430,
+       0x00000000,
+       0xb815ad00,
+       0xcb003ae0,
+       0x08b40b0d,
+       0x40fac192,
+       0x7008b802,
+       0x2600cbc0,
+       0x3ef08fb8,
+       0x032a0460,
+       0x00000000,
+       0x8000e8c0,
+       0xfb003ec0,
+       0x2fb003ec,
+       0x40fa003e,
+       0xc00f3083,
+       0xe940f920,
+       0x3e400fb0,
+       0x03e00030,
+       0x00000000,
+       0x8010fc00,
+       0xce003f80,
+       0x0cc00338,
+       0x004f0031,
+       0x680ca803,
+       0x3010ce80,
+       0x3fc00ff0,
+       0x83c04430,
+       0x00000000,
+       0x81047800,
+       0x8e002d80,
+       0x08400238,
+       0x008f0022,
+       0xc068ac43,
+       0x01008850,
+       0x2e300bb0,
+       0x02e04010,
+       0x00000000,
+       0x80052400,
+       0x89002e40,
+       0x28b00224,
+       0x07a80022,
+       0x40089502,
+       0x29408b40,
+       0x2e840bb0,
+       0x02e00040,
+       0x00000000,
+       0x08040000,
+       0x81002e40,
+       0x08b00a24,
+       0x00a000a0,
+       0x4008100a,
+       0x68048000,
+       0x2c800b30,
+       0x02c20100,
+       0x00000000,
+       0x800d6404,
+       0xc8012e00,
+       0x1c001320,
+       0x00e90032,
+       0x400c8007,
+       0x2000c900,
+       0x3e400fb0,
+       0x03c00350,
+       0x00000000,
+       0xa01dd002,
+       0xf4003d00,
+       0x0fc003f0,
+       0x00dd003f,
+       0x401fc003,
+       0xb000bc00,
+       0x3f004ff0,
+       0x03e80670,
+       0x00000000,
+       0xc001f480,
+       0xec803f24,
+       0x4cc81332,
+       0x40c78033,
+       0xe00fc803,
+       0xfc80cc81,
+       0x33c80cc8,
+       0x03300070,
+       0x00000000,
+       0x8010e704,
+       0x89802cc8,
+       0x08884000,
+       0x808b8022,
+       0xe00b8822,
+       0xfda08980,
+       0x2bc40810,
+       0x02200430,
+       0x00000000,
+       0x8805c440,
+       0xa2002e29,
+       0x08800200,
+       0x808a0028,
+       0xc00b0002,
+       0xcc408800,
+       0x20c00800,
+       0x02220170,
+       0x00000000,
+       0xc015ac02,
+       0x89002ec0,
+       0x0a809820,
+       0x008a442a,
+       0xc00b8092,
+       0xec048944,
+       0x2ac0a890,
+       0x02300460,
+       0x00000000,
+       0x4015ec80,
+       0xe8483c80,
+       0x0c14032b,
+       0x40ca801a,
+       0xc00fb803,
+       0xec02c200,
+       0x32c08cad,
+       0x83100470,
+       0x00000000,
+       0xe001bc04,
+       0xff003f50,
+       0x0df403f8,
+       0x00fcc037,
+       0xc10fda03,
+       0xfc10fc40,
+       0x3ec02ff8,
+       0x0bf80060,
+       0x00000000,
+       0x4010ad00,
+       0xca113e80,
+       0xcc9403e5,
+       0x00ca8032,
+       0xc80db483,
+       0xcc08ca48,
+       0x3ec02ca0,
+       0x03100420,
+       0x00000000,
+       0xc8050e22,
+       0x8b822e40,
+       0x00b042e4,
+       0x0082c036,
+       0xd0089402,
+       0xfc008880,
+       0x2fc008b0,
+       0x42320040,
+       0x00000000,
+       0xe0054180,
+       0x80622c40,
+       0x082002e4,
+       0x00820408,
+       0xd0090c02,
+       0xcc008040,
+       0x2ec00880,
+       0x02380050,
+       0x00000000,
+       0x2001064c,
+       0x84802de0,
+       0x184802d6,
+       0x0086c02d,
+       0xe0006a02,
+       0xde068788,
+       0x6de40858,
+       0x02080040,
+       0x00000000,
+       0x48080400,
+       0xc2103c08,
+       0x2c3103c9,
+       0x02c20138,
+       0xc82d1183,
+       0xec00c000,
+       0x3cc02c00,
+       0x0b120200,
+       0x00000000,
+       0x401db804,
+       0xfc993f40,
+       0x0fd103f9,
+       0x42fe0035,
+       0xc00ffb03,
+       0xfc00ff18,
+       0x3fc00fd0,
+       0x03d00660,
+       0x00000000,
+       0xa805ed00,
+       0xc9213e80,
+       0x0ea203cc,
+       0x80ca023c,
+       0xc02caf03,
+       0x2dc0cb08,
+       0x3ec80ca2,
+       0x03ea0070,
+       0x00000000,
+       0x48119c40,
+       0x87302f40,
+       0x086102dc,
+       0x0286002d,
+       0xc00d6102,
+       0x1c408700,
+       0x2dd40a71,
+       0x02d20460,
+       0x00000000,
+       0xc0009e00,
+       0x87c22de0,
+       0x4a7d02fe,
+       0x0086c02d,
+       0xe00afe02,
+       0x0e8087e0,
+       0x2ce80868,
+       0x82f00020,
+       0x00000000,
+       0x4814ce00,
+       0x83812c68,
+       0x083c12ed,
+       0x4882402c,
+       0xc00b3cc2,
+       0x0c108388,
+       0x2cc00a84,
+       0x02d20430,
+       0x00000000,
+       0xe815aa20,
+       0xcac13fa0,
+       0x2ea403ea,
+       0x40c6803c,
+       0x800ea80b,
+       0x2808ca00,
+       0x3e800ca8,
+       0x03fa0520,
+       0x00000000,
+       0x4800c182,
+       0xf8003e04,
+       0x0f8603e0,
+       0x00f8203e,
+       0x110c8013,
+       0xc00af840,
+       0x3c000f84,
+       0x83d20030,
+       0x00000000,
+       0x0810ec00,
+       0xd94a3e40,
+       0x0c9203ec,
+       0x08c900b2,
+       0x684c9003,
+       0xe402c904,
+       0x3e402cb0,
+       0x03020420,
+       0x00000000,
+       0x80046400,
+       0x8bc02e40,
+       0x88b602e4,
+       0x0689c022,
+       0x6808b002,
+       0xe4108b64,
+       0x2e402896,
+       0x02200010,
+       0x00000000,
+       0x18052402,
+       0x990d2c41,
+       0x289006e4,
+       0x0089182a,
+       0x40289012,
+       0xe4028900,
+       0x2e400890,
+       0x02060040,
+       0x00000000,
+       0x08040c02,
+       0x81002c50,
+       0x081002c5,
+       0x00890228,
+       0x40081002,
+       0xc5020100,
+       0x2c440810,
+       0x02020100,
+       0x00000000,
+       0xb80d6200,
+       0xd8043e00,
+       0x0c8003e0,
+       0x00c8023a,
+       0x008c8003,
+       0xe208c800,
+       0x2e200c80,
+       0x0b2e0350,
+       0x00000000,
+       0x981dc506,
+       0xf9013f60,
+       0x4f9053e6,
+       0x00fd0036,
+       0x400f1002,
+       0xe708f904,
+       0x3e500f90,
+       0x83e60670,
+       0x00000000,
+       0x1805f400,
+       0xe9013240,
+       0x8c9003e4,
+       0x00c90033,
+       0x402e9003,
+       0xe400c900,
+       0x3e400cd0,
+       0x03060070,
+       0x00000000,
+       0x3810e000,
+       0x88002200,
+       0x40a002e0,
+       0x0088042a,
+       0x00088002,
+       0xe002a802,
+       0x2e2a0800,
+       0x020e0430,
+       0x00000000,
+       0x0805c400,
+       0xab002060,
+       0x021002c4,
+       0x02890020,
+       0x400a1002,
+       0xc400a100,
+       0x2c400890,
+       0x02020170,
+       0x00000000,
+       0x1815a542,
+       0x89002240,
+       0x6a9002e4,
+       0x20890e2a,
+       0x400890c2,
+       0xc400a900,
+       0x0e402898,
+       0x02060460,
+       0x00000000,
+       0xa015e400,
+       0xe1013240,
+       0x4e9011e7,
+       0x04c18130,
+       0x402e9003,
+       0xe400e900,
+       0x1e404c96,
+       0x0b280470,
+       0x00000000,
+       0x2801a610,
+       0xf994be70,
+       0x4d9003e6,
+       0x40fb203e,
+       0x400f9003,
+       0xe400f901,
+       0x3e400f90,
+       0x03ca0060,
+       0x00000000,
+       0x2810a201,
+       0xc8003e00,
+       0x0c8203e1,
+       0x00c84032,
+       0x010c8503,
+       0xe008c800,
+       0x3e000c82,
+       0x030a0420,
+       0x00000000,
+       0x28051800,
+       0x8a012e81,
+       0x08ac42e8,
+       0x008a8023,
+       0x800dad02,
+       0xe8108a00,
+       0x2e800868,
+       0x020a0040,
+       0x00000000,
+       0x28054400,
+       0x83012ec0,
+       0x003002ec,
+       0x0083e1a0,
+       0x80893802,
+       0xcc080384,
+       0x2ec0083c,
+       0x020a0050,
+       0x00000000,
+       0xa0011820,
+       0x07012dc8,
+       0xc87082dc,
+       0xc08f0821,
+       0xc0097002,
+       0xdc408708,
+       0x2dc00870,
+       0x82280040,
+       0x00000000,
+       0xa8081200,
+       0x84923fe0,
+       0x2c7803fe,
+       0x82c59031,
+       0x600d7883,
+       0xfe82c694,
+       0x3fe00c78,
+       0x0b2a0200,
+       0x00000000,
+       0x081d8806,
+       0xf8403eda,
+       0x0fb623ed,
+       0xa0f2623c,
+       0x000fb423,
+       0xeda0fb40,
+       0x3ec02fb0,
+       0x03c20660,
+       0x00000000,
+       0x0005f200,
+       0xcf903de2,
+       0x0cec53fe,
+       0x10ce803d,
+       0xa02cd803,
+       0xfe00cd10,
+       0x3fe00cc8,
+       0x03000070,
+       0x00000000,
+       0xa8119804,
+       0x87102dc4,
+       0x086082dc,
+       0x90850139,
+       0xc8084402,
+       0xdc888700,
+       0x2dc088c0,
+       0x122a0460,
+       0x00000000,
+       0x00009022,
+       0x869a2fc0,
+       0x085002dc,
+       0x0084082d,
+       0x410a5802,
+       0xdc108410,
+       0x6dc00940,
+       0x02800020,
+       0x00000000,
+       0x2014c822,
+       0x82c02cd1,
+       0x0a1812cc,
+       0x00800028,
+       0x000a0002,
+       0xec088380,
+       0x2cc02904,
+       0x02880430,
+       0x00000000,
+       0xa815a200,
+       0xcbd13fc4,
+       0x2cb003fc,
+       0x00cb803c,
+       0x010ea883,
+       0xfc02cb20,
+       0x3fc10d90,
+       0x0baa0460,
+       0x00000000,
+       0x8000c800,
+       0xfa423ee0,
+       0x05b403ec,
+       0x04fb023e,
+       0x401d9453,
+       0xec11f800,
+       0x3cc00e9c,
+       0x01600030,
+       0x00000000,
+       0x0110f000,
+       0xdd013fc0,
+       0x0de003fc,
+       0x08ce103f,
+       0xc46de003,
+       0xac00ce08,
+       0x3ec02cc8,
+       0x83c04430,
+       0x00000000,
+       0x81046a0a,
+       0x88402ec0,
+       0x08a042ec,
+       0x0289cc2e,
+       0x80089562,
+       0xec008840,
+       0x2fc00884,
+       0x02e04010,
+       0x00000000,
+       0x80052200,
+       0x9b502cc1,
+       0x09a402cc,
+       0x0089002e,
+       0x0009a002,
+       0xec00898d,
+       0x6ec048a6,
+       0x42e00040,
+       0x00000000,
+       0x08040200,
+       0x82002cc1,
+       0x082006cc,
+       0x0082022c,
+       0x40080002,
+       0xcc060002,
+       0x2cc11820,
+       0x02c20100,
+       0x00000000,
+       0x000d6000,
+       0xdb003fc0,
+       0x2d8003dc,
+       0x00c8023e,
+       0xc08da003,
+       0xbc024806,
+       0x3ec00cb0,
+       0x03c00350,
+       0x00000000,
+       0xa01dd000,
+       0xfc003fc0,
+       0x0fc053fc,
+       0x04fc043f,
+       0x800fc033,
+       0xfc08fc03,
+       0x3fc00ff0,
+       0x03e80670,
+       0x00000000,
+       0xc005fc00,
+       0xcd903170,
+       0x0cc803fc,
+       0x00cf003b,
+       0xc00fc803,
+       0x7200cc80,
+       0x3f204cc0,
+       0x13300070,
+       0x00000000,
+       0x8010edc0,
+       0x830022c8,
+       0x088282e0,
+       0x20a88022,
+       0x09483002,
+       0x2c008300,
+       0x2cc14888,
+       0x0aa00030,
+       0x00000000,
+       0x8805e830,
+       0x832020c8,
+       0x080202c0,
+       0x00830008,
+       0x02091002,
+       0x4000a201,
+       0x2e001810,
+       0x02220170,
+       0x00000000,
+       0xc011a912,
+       0x8b0020c0,
+       0x088802cc,
+       0x00a80020,
+       0xc008a002,
+       0x0c002908,
+       0x2ec3a880,
+       0x02b00460,
+       0x00000000,
+       0x4011ec00,
+       0x8b1032c4,
+       0x0c8c03fc,
+       0x00ce003b,
+       0xc00dae13,
+       0x6f88eb00,
+       0x3ec04cad,
+       0x23100470,
+       0x00000000,
+       0xe001bc40,
+       0xff80bfc0,
+       0x2fc003f0,
+       0x20fdc03f,
+       0x000dd203,
+       0xf082dc00,
+       0x3f080f90,
+       0x03f80060,
+       0x00000000,
+       0x4010a800,
+       0xdb0032c0,
+       0x0c840320,
+       0x40c20032,
+       0x000db803,
+       0xae80c950,
+       0x32d40c94,
+       0x03100420,
+       0x00000000,
+       0xc8050c00,
+       0x8b0022c0,
+       0x0880036c,
+       0x00d98422,
+       0xc0088802,
+       0x21100ac0,
+       0x00110810,
+       0x02320040,
+       0x00000000,
+       0xe0054881,
+       0x9b00a0c0,
+       0x0800020f,
+       0x00818020,
+       0xcc01b602,
+       0x8c10a1c9,
+       0x20f28900,
+       0x02380050,
+       0x00000000,
+       0x20013211,
+       0x878063e0,
+       0x08c90252,
+       0x00969020,
+       0x24084802,
+       0x1200a680,
+       0x21244968,
+       0x00080040,
+       0x00000000,
+       0x48080800,
+       0xd30130c8,
+       0x2c000320,
+       0x00c108b0,
+       0x040d2003,
+       0xac00e300,
+       0xb0c02d26,
+       0x0b120000,
+       0x00000000,
+       0x401d9000,
+       0xff112fc0,
+       0x0f4003fc,
+       0x00f6013f,
+       0xc50fd003,
+       0xf0005c00,
+       0x3f000ee0,
+       0x03d00660,
+       0x00000000,
+       0xa805e800,
+       0xdb493ec0,
+       0x0ca0032c,
+       0x02c80032,
+       0xe02c980b,
+       0x0004c280,
+       0x02280cb0,
+       0x032a0270,
+       0x00000000,
+       0x48119400,
+       0x87002dc0,
+       0x08600280,
+       0x00870220,
+       0x00086002,
+       0x1c108505,
+       0x21c24870,
+       0x02120060,
+       0x00000000,
+       0xc0008a00,
+       0x97802fe2,
+       0x00ec0212,
+       0x00a080a1,
+       0x200a4c02,
+       0x72008c80,
+       0x63300878,
+       0x82300020,
+       0x00000000,
+       0x4814c504,
+       0x83802ee0,
+       0x083c028c,
+       0x04a30220,
+       0xc00a3002,
+       0x4e00a3c8,
+       0x20e9083e,
+       0x02120430,
+       0x00000000,
+       0xe815b840,
+       0xd2083e80,
+       0x28ed0328,
+       0x00ea0132,
+       0x800ea003,
+       0x68088ac0,
+       0x32800c6c,
+       0x0b3a0460,
+       0x00000000,
+       0x4800e000,
+       0xe8003e00,
+       0x0f8003d0,
+       0x005c203f,
+       0x0005c003,
+       0xb0c6dc12,
+       0xbf106f84,
+       0x03d20030,
+       0x00000000,
+       0x0810e400,
+       0xcb013240,
+       0x0c900324,
+       0x00d94032,
+       0x440f9903,
+       0xe602c9a0,
+       0x3e680f90,
+       0x03020430,
+       0x00000000,
+       0x80006400,
+       0x89442250,
+       0x28140365,
+       0x00810022,
+       0x490b9c02,
+       0xe64089a0,
+       0x2e700b94,
+       0x02201010,
+       0x00000000,
+       0x18050403,
+       0x89402250,
+       0x08b40225,
+       0x009d0023,
+       0x400bd006,
+       0xd4008d00,
+       0x2f404b90,
+       0x02060040,
+       0x00000000,
+       0x08040500,
+       0x89402050,
+       0x08940255,
+       0x80852021,
+       0x480b5842,
+       0xd6008500,
+       0x2d400b12,
+       0x0a020100,
+       0x00000000,
+       0xb80d6208,
+       0xc800b200,
+       0x0c800322,
+       0x00d880b2,
+       0x340f0503,
+       0xe140c850,
+       0x3f940fa8,
+       0x032e0150,
+       0x00000000,
+       0x984dff00,
+       0x39803d60,
+       0x0f7803e6,
+       0x40f9122e,
+       0x640f9803,
+       0xe600f980,
+       0x3c604f71,
+       0x03e60470,
+       0x00000000,
+       0x1805e400,
+       0xc9403250,
+       0x0c900326,
+       0x80cda83a,
+       0x620ed003,
+       0xf400cd00,
+       0x33400fd0,
+       0x03060070,
+       0x00000000,
+       0x3810e2a0,
+       0x88a0a228,
+       0x28880a33,
+       0x42884002,
+       0x9008a012,
+       0xc0008800,
+       0x22000b80,
+       0x008e0430,
+       0x00000000,
+       0x0805c400,
+       0x85202148,
+       0x08528214,
+       0xa0810028,
+       0x400a1002,
+       0xe400a900,
+       0x28400b30,
+       0x42020170,
+       0x00000000,
+       0x18158410,
+       0x85002140,
+       0x08500214,
+       0x20890522,
+       0x48089002,
+       0xe402a920,
+       0xea400b90,
+       0x02860460,
+       0x00000000,
+       0xa015e502,
+       0xc9803260,
+       0x0c900327,
+       0x00c9003a,
+       0x608e9403,
+       0xc4006180,
+       0x3a400f9c,
+       0x03280470,
+       0x00000000,
+       0x2801a400,
+       0xf9203e48,
+       0x0f9003e6,
+       0x40f1023c,
+       0xe00f9003,
+       0xe400d901,
+       0x36404f99,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xf8003200,
+       0x0c805331,
+       0x00c80032,
+       0x100c8083,
+       0x2000c890,
+       0xb2082c80,
+       0x038a0420,
+       0x00000000,
+       0x28052800,
+       0xba002280,
+       0x08a00238,
+       0x008e8022,
+       0x8008ee42,
+       0x3b208e00,
+       0x33808860,
+       0x020a0040,
+       0x00000000,
+       0x28054c00,
+       0xb38020e0,
+       0x0838020a,
+       0x008280a0,
+       0xcd38341a,
+       0x0e822300,
+       0x0cd44830,
+       0x028a0050,
+       0x00000000,
+       0xa0011800,
+       0xb74021d0,
+       0x08740219,
+       0x00820820,
+       0xc0185802,
+       0x1410a700,
+       0x29e0c870,
+       0x02280040,
+       0x00000000,
+       0xa8081e00,
+       0xfe80b3a0,
+       0x2ce80a3e,
+       0x02c68031,
+       0xe40ce803,
+       0x1a08ed80,
+       0x3f600c78,
+       0x03aa0200,
+       0x00000000,
+       0x081da800,
+       0xfa003e80,
+       0x0fa003ec,
+       0x00fa013e,
+       0x980f8003,
+       0xe000d900,
+       0x36418f30,
+       0x03c20660,
+       0x00000000,
+       0x0005fe00,
+       0xfd803f60,
+       0x0fd80232,
+       0x80fe803f,
+       0xe007f803,
+       0xfe01cf81,
+       0x3fe08ff8,
+       0x43000070,
+       0x00000000,
+       0xa8119800,
+       0xb5102d40,
+       0x0b510210,
+       0x00b6082d,
+       0x4a4b5112,
+       0xf4008722,
+       0x2dc80b72,
+       0x122a0460,
+       0x00000000,
+       0x00009c00,
+       0xb4002d06,
+       0x0b400216,
+       0x80b6002d,
+       0x000b6002,
+       0xd8628509,
+       0x2d420b34,
+       0x02000020,
+       0x00000000,
+       0x2014c980,
+       0xb0002c00,
+       0x0b808206,
+       0xc0b2c02c,
+       0x280b3402,
+       0xe5808060,
+       0x6c000b30,
+       0x02080430,
+       0x00000000,
+       0xa8158e00,
+       0xf3003ee0,
+       0x0bb40a29,
+       0x00fa822e,
+       0x300fbe03,
+       0xed00ca40,
+       0x3ea00f20,
+       0x0b2a0460,
+       0x00000000,
+       0x8000e850,
+       0xfb103ec0,
+       0x0fb003e8,
+       0x0032083e,
+       0xc00f9203,
+       0xe400fa41,
+       0x3e900fa8,
+       0x03e00030,
+       0x00000000,
+       0x0110fc00,
+       0xce003380,
+       0x0fe0031c,
+       0x00de0033,
+       0x800fe803,
+       0xf802cc04,
+       0x3f020ff0,
+       0x03004430,
+       0x00000000,
+       0x81047802,
+       0x8e022380,
+       0x0be0023c,
+       0x088a8022,
+       0x804b8002,
+       0xea008a00,
+       0x2ee00bb0,
+       0x0a204010,
+       0x00000000,
+       0x80052c00,
+       0x89002240,
+       0x0b900220,
+       0x009a2022,
+       0x600bb506,
+       0xed408ac0,
+       0x2e940b82,
+       0x02200040,
+       0x00000000,
+       0x08042800,
+       0x8900a040,
+       0x0b100a00,
+       0x128200a0,
+       0x600b0002,
+       0xc0008100,
+       0x2c000b08,
+       0x02020100,
+       0x00000000,
+       0x000d6c00,
+       0xc8003200,
+       0x1f000324,
+       0x00da0432,
+       0x000f8023,
+       0xe008c800,
+       0x3e000f90,
+       0x03000350,
+       0x00000000,
+       0xa01df800,
+       0xfc003f00,
+       0x0fc003f4,
+       0x00fc003f,
+       0x000fc003,
+       0xf008fc00,
+       0x3f000fd0,
+       0x03e80670,
+       0x00000000,
+       0xc005f600,
+       0xcc803fa0,
+       0x0cc803f2,
+       0x00cf8231,
+       0x200cf803,
+       0xde00cf28,
+       0x33200ff2,
+       0x83300070,
+       0x00000000,
+       0x8010ea00,
+       0x8a802e61,
+       0x088800e2,
+       0x108b842a,
+       0x2028b802,
+       0xee108f60,
+       0x3ea00bf6,
+       0x02a00430,
+       0x00000000,
+       0x8805c811,
+       0xa0002c00,
+       0x081002e0,
+       0x008a0028,
+       0x01083046,
+       0xcc008308,
+       0x20000b30,
+       0x82220170,
+       0x00000000,
+       0xc015a800,
+       0xaa282e60,
+       0x089002e4,
+       0x008b002a,
+       0x2008b002,
+       0xec148b04,
+       0x2ec20bb0,
+       0x02b00460,
+       0x00000000,
+       0x4015eb26,
+       0xe8013eb0,
+       0x0ca013c8,
+       0x22cb8038,
+       0x200cb043,
+       0xec00cb00,
+       0xb2100fb0,
+       0x03100470,
+       0x00000000,
+       0xe001b840,
+       0xdd803fc1,
+       0x0ff903f9,
+       0x10ffc03f,
+       0x400ff003,
+       0xfc02f704,
+       0xbfa40ff0,
+       0x03f80060,
+       0x00000000,
+       0x4010a980,
+       0xc8603e00,
+       0x1fa007e8,
+       0x80f84832,
+       0xc00cb003,
+       0xec08cb02,
+       0x32120fb0,
+       0x03100420,
+       0x00000000,
+       0xc8050a00,
+       0x09002cc3,
+       0x0bb002ef,
+       0x00b3e222,
+       0xe90db003,
+       0x8f40df04,
+       0x3ef00bf0,
+       0x02b20040,
+       0x00000000,
+       0xe0054b08,
+       0x91400cb2,
+       0x0b0202c7,
+       0x04b3c000,
+       0x00083900,
+       0xce008300,
+       0x20748bb0,
+       0x02380050,
+       0x00000000,
+       0x20013a60,
+       0x96802d61,
+       0x0b6806da,
+       0x01b78021,
+       0x60497802,
+       0x9e209380,
+       0x2d200b38,
+       0x22880040,
+       0x00000000,
+       0x48080800,
+       0x91102c80,
+       0x0b1202c4,
+       0x24f340b0,
+       0xd80c3003,
+       0xcc04c302,
+       0xb0c80f30,
+       0x4b120200,
+       0x00000000,
+       0x401d9902,
+       0xeecd3f44,
+       0x07f013fe,
+       0x00f7403f,
+       0xc04ff103,
+       0xfc005f48,
+       0x3fc00ff1,
+       0x0bd00660,
+       0x00000000,
+       0xa805c800,
+       0xeb203e80,
+       0x0f8613e5,
+       0x88fb403e,
+       0x200cb003,
+       0x2c00fb40,
+       0x3e760cb3,
+       0x032a0070,
+       0x00000000,
+       0x48119800,
+       0x87202d80,
+       0x0b7082d9,
+       0xa0b7002d,
+       0x410c7042,
+       0x1c00b724,
+       0x2d000a72,
+       0x82120460,
+       0x00000000,
+       0xc000ba00,
+       0xa7806de0,
+       0xcb4902d6,
+       0x0037802f,
+       0xe0097822,
+       0x1e00b780,
+       0x2fe0087a,
+       0x02300020,
+       0x00000000,
+       0x4814c900,
+       0x83c02ccc,
+       0x0b3312cc,
+       0x40b3002c,
+       0xc4083012,
+       0x0c04bb00,
+       0x2cc04a30,
+       0x02120430,
+       0x00000000,
+       0xe8158b20,
+       0xeaf03fb0,
+       0x0fa003e8,
+       0x10fa023f,
+       0xb42da00b,
+       0x2800fa00,
+       0x3e800ca0,
+       0x0b3a0460,
+       0x00000000,
+       0x4800e186,
+       0xf8002e00,
+       0x438013e0,
+       0x00f8513e,
+       0x000f8023,
+       0xe000f800,
+       0x3e000f80,
+       0x03d20030,
+       0x00000000,
+       0x0810ee00,
+       0xc9003e40,
+       0x0f9442e5,
+       0x00fb003e,
+       0x680f9003,
+       0x2400f900,
+       0x32400f10,
+       0x0b020430,
+       0x00000000,
+       0x80046680,
+       0x8b242e40,
+       0x0bb802ef,
+       0x80b9422e,
+       0x600b1002,
+       0x2400b901,
+       0x36c00b90,
+       0x02200010,
+       0x00000000,
+       0x18052440,
+       0x89102ec0,
+       0x0b9002e4,
+       0x00b9802e,
+       0x404b9002,
+       0x2404b900,
+       0x22400b90,
+       0x02060040,
+       0x00000000,
+       0x08042400,
+       0x81042c40,
+       0x0b1032c4,
+       0x08b1002c,
+       0x401b100a,
+       0x0400b143,
+       0xa4400b14,
+       0x02020100,
+       0x00000000,
+       0xb80d6000,
+       0xc8003e00,
+       0x0f8002e0,
+       0x00fa003e,
+       0x000f8003,
+       0x2000f880,
+       0x32004f08,
+       0x0b2e0350,
+       0x00000000,
+       0x981de402,
+       0xf9013f40,
+       0x0f9003e4,
+       0x00f9003d,
+       0xc00f1002,
+       0xe400f9c2,
+       0x3c400f9c,
+       0x03e60670,
+       0x00000000,
+       0x1805f400,
+       0xc9003f40,
+       0x0c9003e4,
+       0x00fd003f,
+       0x400fd043,
+       0xf410c900,
+       0x32400f90,
+       0x03060070,
+       0x00000000,
+       0x3810e000,
+       0x88012e00,
+       0x088002e0,
+       0x00b8002e,
+       0x000b8002,
+       0xe000a800,
+       0xaa010b80,
+       0x028e0430,
+       0x00000000,
+       0x0805e400,
+       0x81002e60,
+       0x2a1002c4,
+       0x04b1806c,
+       0x400b1026,
+       0xc4008100,
+       0x20400b10,
+       0x02020170,
+       0x00000000,
+       0x1815a462,
+       0x89002e40,
+       0x1a9002e4,
+       0x00b9022e,
+       0x610b9002,
+       0xe400a100,
+       0x2a424b90,
+       0x02860460,
+       0x00000000,
+       0xa015e40c,
+       0xc9003c40,
+       0x0e9203e5,
+       0x80f9903e,
+       0x700f9003,
+       0xe400c904,
+       0x32400f90,
+       0x0b280470,
+       0x00000000,
+       0x2801a420,
+       0xf90c3e68,
+       0x8d9003e4,
+       0x00f9823e,
+       0x400f9003,
+       0xe400f903,
+       0x3e640f10,
+       0x03ca0060,
+       0x00000000,
+       0x2810a000,
+       0xc8003e08,
+       0x0f8183e3,
+       0x00f8803e,
+       0x010f8003,
+       0xe000f800,
+       0x32080f80,
+       0x0b0a0420,
+       0x00000000,
+       0x28051800,
+       0x8a000fb0,
+       0x0ba002e8,
+       0x08be202f,
+       0x984ceb00,
+       0xd9009a00,
+       0x22880ba0,
+       0x020a0040,
+       0x00000000,
+       0x28054a58,
+       0x83412c04,
+       0x033806cc,
+       0x00b3800c,
+       0xd80b3022,
+       0xc9c0b300,
+       0x20f00b30,
+       0x020a0050,
+       0x00000000,
+       0xa0013c00,
+       0x87002d00,
+       0x8b7026dc,
+       0x00b7002d,
+       0xc0887002,
+       0xdc009720,
+       0x21c04b71,
+       0x02280040,
+       0x00000000,
+       0xa8081600,
+       0x86903d20,
+       0x0f5823d6,
+       0x00f6803d,
+       0xe00f7803,
+       0xda00ffe0,
+       0x31e20ffa,
+       0x0b2a0200,
+       0x00000000,
+       0x081d8006,
+       0xfa403e00,
+       0x4f9603e8,
+       0x20f9002e,
+       0xc049b023,
+       0xec00db40,
+       0xbec00fb6,
+       0x83c20660,
+       0x00000000,
+       0x0005da00,
+       0xef943b20,
+       0x0cfd033a,
+       0x00fd803f,
+       0xe00f7943,
+       0x1640cfc2,
+       0x33e00ff8,
+       0x03000070,
+       0x00000000,
+       0xa8119410,
+       0x86142190,
+       0x4864021c,
+       0x00b5000d,
+       0xc10b7402,
+       0x9400af00,
+       0x21000b70,
+       0x02aa0460,
+       0x00000000,
+       0x0000b400,
+       0xaf806902,
+       0x0ad00212,
+       0x00b5002d,
+       0xc00b7022,
+       0xd4009700,
+       0x21c00b70,
+       0x02000020,
+       0x00000000,
+       0x2014c030,
+       0x824060b2,
+       0x0a000a09,
+       0x00b1902c,
+       0xc80b3002,
+       0xc400b302,
+       0x20240b30,
+       0x02880430,
+       0x00000000,
+       0xa815ab20,
+       0xeb403a00,
+       0x0ea0032c,
+       0x10fb403e,
+       0x800fb003,
+       0xec00df00,
+       0xb2140ff0,
+       0x032a0460,
+       0x00000000,
+       0x8000e800,
+       0xfb113e10,
+       0x05a583e6,
+       0x80f8003e,
+       0x900fb021,
+       0xa500eb01,
+       0x3ed00fb0,
+       0x03e00030,
+       0x00000000,
+       0x0110f400,
+       0xfc983f00,
+       0x0fea02f6,
+       0x00be0c7f,
+       0x010ff403,
+       0xfc00ff00,
+       0x33200cf0,
+       0x03004430,
+       0x00000000,
+       0x81046200,
+       0xb8802e20,
+       0x0ba002e2,
+       0x01b88026,
+       0x280bbc02,
+       0xe540bb00,
+       0x20d00db0,
+       0x03604010,
+       0x00000000,
+       0x80052a00,
+       0xbb002e20,
+       0x0b9002e8,
+       0x84b9802e,
+       0xe00ba062,
+       0xe424b300,
+       0x22140830,
+       0x02200040,
+       0x00000000,
+       0x08040e00,
+       0xb2002c41,
+       0x0b0032c4,
+       0x00b1842c,
+       0x41030002,
+       0xcc00b300,
+       0xa2000930,
+       0x02420100,
+       0x00000000,
+       0x000d6400,
+       0xf9003e00,
+       0x0f9003e0,
+       0x00b9002e,
+       0xc007a003,
+       0xe400ff00,
+       0x32000c70,
+       0x23000350,
+       0x00000000,
+       0xa01df800,
+       0xf4003d40,
+       0x0f4003f0,
+       0x08bd0017,
+       0x400fc003,
+       0xfc00ff00,
+       0xbf000ff0,
+       0x03e80670,
+       0x00000000,
+       0xc001f000,
+       0xcc003300,
+       0x0cc003f0,
+       0x00fd003b,
+       0x020cc083,
+       0x1a00ff80,
+       0x33000de8,
+       0x03300070,
+       0x00000000,
+       0xc010e200,
+       0x0880220a,
+       0x088802e2,
+       0x00398022,
+       0x700a8402,
+       0x2e00bb04,
+       0x20000ab0,
+       0x02300430,
+       0x00000000,
+       0xc805c800,
+       0x82002088,
+       0x080012c0,
+       0x04b10228,
+       0x00080282,
+       0x0c00b301,
+       0x240009b0,
+       0x02320170,
+       0x00000000,
+       0xc015a820,
+       0x8a082282,
+       0x088082e0,
+       0x20b90a22,
+       0x508a0002,
+       0x2c40bb00,
+       0x26504ab4,
+       0x02300460,
+       0x00000000,
+       0x1015f104,
+       0xcc443310,
+       0x0cc503f1,
+       0x40f7403a,
+       0x240cba03,
+       0x2f80fb00,
+       0x36642db0,
+       0x03000470,
+       0x00000000,
+       0xe0019002,
+       0xf400bd00,
+       0x2f8803e2,
+       0x00ff003f,
+       0x420ffa0b,
+       0xfe00ff00,
+       0x3b802ff0,
+       0x03f80060,
+       0x00000000,
+       0x4010a900,
+       0xea403298,
+       0x0c840321,
+       0x00cb4032,
+       0x100cb013,
+       0xec00cb02,
+       0x32d00eb6,
+       0x0b910420,
+       0x00000000,
+       0xd8052a80,
+       0x8a002292,
+       0x08821220,
+       0x808b0134,
+       0xd008ab02,
+       0xed888b00,
+       0x22c00830,
+       0x83760040,
+       0x00000000,
+       0xe0054600,
+       0xa1a02070,
+       0x08300a0e,
+       0x02a00020,
+       0xa0080c02,
+       0x4e022b00,
+       0x22800a38,
+       0x0ab80050,
+       0x00000000,
+       0xe0011600,
+       0x85882060,
+       0x0878121e,
+       0x0084b024,
+       0xe3484802,
+       0xdf01a780,
+       0xa12028f8,
+       0x027c0040,
+       0x00000000,
+       0x48080c80,
+       0xe30030c0,
+       0x0c30030c,
+       0x00e03020,
+       0x840c0213,
+       0x4c80eb20,
+       0xb0400e30,
+       0x09920200,
+       0x00000000,
+       0x401d8c00,
+       0xf310bec4,
+       0x2fb101ec,
+       0x40f0b43e,
+       0x500fb003,
+       0xec00db00,
+       0xbe400f30,
+       0x03d00660,
+       0x00000000,
+       0xa805e600,
+       0xc9003240,
+       0x0cb0032c,
+       0x00ca0036,
+       0x800fbc03,
+       0x2c00eb02,
+       0x36810cb4,
+       0x836a0470,
+       0x00000000,
+       0xc8118400,
+       0x81002040,
+       0x0830020c,
+       0x00820201,
+       0x800b1102,
+       0x1c00bf00,
+       0xa18028f0,
+       0x02b20460,
+       0x00000000,
+       0x80009e04,
+       0x878061e0,
+       0x0878021e,
+       0x00868021,
+       0xe20b7802,
+       0x1e20a780,
+       0x24e00878,
+       0x02600020,
+       0x00000000,
+       0x4814cc00,
+       0x830020c0,
+       0x0830020c,
+       0x00828220,
+       0xf01b3c82,
+       0x0704b300,
+       0x22f84836,
+       0x02920430,
+       0x00000000,
+       0xe815a802,
+       0xca00b280,
+       0x2c200b28,
+       0x02cea0b2,
+       0x800fa48b,
+       0x3800ea00,
+       0x37924ca4,
+       0x037a0060,
+       0x00000000,
+       0x4800e002,
+       0xf8403e00,
+       0x0f8003c0,
+       0x00fc003a,
+       0x120f8003,
+       0xe120f800,
+       0x3e000f84,
+       0x03d20030,
+       0x00000000,
+       0x0810e600,
+       0xf9003240,
+       0x0d900326,
+       0x80c18072,
+       0xe80fb203,
+       0xe408f902,
+       0x36402cb0,
+       0x0b820030,
+       0x00000000,
+       0x80046600,
+       0xb1602064,
+       0x08900226,
+       0x00891062,
+       0x500b9c02,
+       0xe600b902,
+       0x22400894,
+       0x03601010,
+       0x00000000,
+       0x18052480,
+       0xbd24a340,
+       0x09d10234,
+       0x008d0162,
+       0x400b9002,
+       0xe6099100,
+       0xa6400810,
+       0x02860040,
+       0x00000000,
+       0x08041400,
+       0xb5002150,
+       0x08500214,
+       0x00850020,
+       0xc80b3202,
+       0xcc01b110,
+       0x204c6831,
+       0x02420100,
+       0x00000000,
+       0xb80d6200,
+       0xf8803220,
+       0x4d880b22,
+       0x02cc80a2,
+       0x200f8d13,
+       0xe008d840,
+       0x36300c84,
+       0x0bae0350,
+       0x00000000,
+       0x981de500,
+       0xf9403e70,
+       0x0f9403e5,
+       0x00f9443e,
+       0xc40fb903,
+       0xf4003920,
+       0x3fcc2f92,
+       0x03e60670,
+       0x00000000,
+       0x9805f688,
+       0xed883368,
+       0x0cd80336,
+       0x80cda833,
+       0x400c9003,
+       0x2410f910,
+       0x32500c90,
+       0x03660070,
+       0x00000000,
+       0x3810e100,
+       0x88402200,
+       0x08810220,
+       0x00880022,
+       0x00088002,
+       0x2000b880,
+       0x222808ca,
+       0x820e0430,
+       0x00000000,
+       0x4805c500,
+       0xa1002050,
+       0x08102204,
+       0x40810020,
+       0x40081002,
+       0x0410a520,
+       0x21400850,
+       0x02520170,
+       0x00000000,
+       0x1811a400,
+       0x89002240,
+       0x08900224,
+       0x00890022,
+       0x48083012,
+       0x2624b304,
+       0x21c008d4,
+       0x02060460,
+       0x00000000,
+       0xa015e600,
+       0xe980b260,
+       0x2c900924,
+       0x02c900b0,
+       0x502c9c0b,
+       0x2500e901,
+       0x32448c90,
+       0x03680470,
+       0x00000000,
+       0x68018480,
+       0xf1283c48,
+       0x0f1043c4,
+       0x00f1003e,
+       0x400fb203,
+       0xec00b900,
+       0xbe602f90,
+       0x03da0060,
+       0x00000000,
+       0x2810a040,
+       0xf810b602,
+       0x0e800320,
+       0x00c80072,
+       0x040e8123,
+       0xe104f800,
+       0xb2100cc0,
+       0x038a0420,
+       0x00000000,
+       0x28052800,
+       0xbe4023b2,
+       0x88e00238,
+       0x80de0063,
+       0x800ba002,
+       0xe820ba80,
+       0x228008e0,
+       0x034a0040,
+       0x00000000,
+       0x28054600,
+       0xb3c024f0,
+       0x0a380288,
+       0x00820420,
+       0x820a3e02,
+       0xcd90b300,
+       0xa0e028a8,
+       0x0a8a0050,
+       0x00000000,
+       0xa0011c00,
+       0xb70061c0,
+       0x08740298,
+       0x00960821,
+       0x400b7002,
+       0xdc01b708,
+       0x21c20864,
+       0x02680040,
+       0x00000000,
+       0xa8081600,
+       0xf58037e0,
+       0x0e380b9a,
+       0x00c280a1,
+       0x600e7803,
+       0xd640ff82,
+       0x30e00cf8,
+       0x0baa0200,
+       0x00000000,
+       0x081dac00,
+       0xf1003ec0,
+       0x0fb00348,
+       0x00fa003e,
+       0x400fa023,
+       0xec80fb00,
+       0xbec00fb0,
+       0x03c20660,
+       0x00000000,
+       0x4005f600,
+       0xff8033e0,
+       0x0e78033a,
+       0x00cc803f,
+       0x640fdc13,
+       0xfe407d90,
+       0x33614cc8,
+       0x43100070,
+       0x00000000,
+       0xa8119c00,
+       0xb71023d0,
+       0x28710218,
+       0x4084242d,
+       0x400b6002,
+       0xdc20bd30,
+       0xa3442840,
+       0x02aa0060,
+       0x00000000,
+       0x10009400,
+       0xb1002144,
+       0x0a700218,
+       0x0086010d,
+       0x430b7102,
+       0xdd10b502,
+       0x21402858,
+       0x02000020,
+       0x00000000,
+       0x6014c400,
+       0xb1002240,
+       0x08300288,
+       0x0082d02c,
+       0x700b2042,
+       0xce00b900,
+       0x22640894,
+       0x02980430,
+       0x00000000,
+       0xa815ac00,
+       0xf300b240,
+       0x0ab01a08,
+       0x08c8503e,
+       0x440fa603,
+       0xce00ba00,
+       0x32800ca4,
+       0x022a0460,
+       0x00000000,
+       0x9000e400,
+       0xfb003ed0,
+       0x0fb00368,
+       0x02f8003e,
+       0x100f0403,
+       0xec00fa40,
+       0x3e900fa2,
+       0x03e00030,
+       0x00000000,
+       0x8010fd00,
+       0xfd003340,
+       0x0cf20338,
+       0x80cc201f,
+       0x500fda83,
+       0x3e88ce00,
+       0x33822cf0,
+       0x03a00430,
+       0x00000000,
+       0x90046600,
+       0xb9e022f0,
+       0x0838022a,
+       0x0088802e,
+       0x384b8402,
+       0x2d84de40,
+       0x239008f0,
+       0x02200010,
+       0x00000000,
+       0x80052c00,
+       0xbb802260,
+       0x08b00228,
+       0x1488006e,
+       0x400b9002,
+       0x2c008802,
+       0x22010880,
+       0x42a00040,
+       0x00000000,
+       0x08040400,
+       0xb100a080,
+       0x28300208,
+       0x0080002c,
+       0x010b003a,
+       0x2c009001,
+       0x20000800,
+       0x02020500,
+       0x00000000,
+       0x800d6400,
+       0xf9003240,
+       0x08b01328,
+       0x02c8003e,
+       0x400f9013,
+       0x2c01c800,
+       0xb2000c10,
+       0x03a00150,
+       0x00000000,
+       0xa01df400,
+       0x7c003f00,
+       0x0fd00bd0,
+       0x00fc003f,
+       0x000fc003,
+       0xf8007c00,
+       0xbf000fd0,
+       0x03e80470,
+       0x00000000,
+       0xc005fe00,
+       0xcc903360,
+       0x0fc003f2,
+       0x00fe803b,
+       0x200fc803,
+       0xf200fc82,
+       0x3f200fc8,
+       0x03f00070,
+       0x00000000,
+       0x8010e600,
+       0x8a0022e0,
+       0x0bad02e2,
+       0x00b88022,
+       0x200ba802,
+       0xea08b880,
+       0x2e200ba8,
+       0x02e00430,
+       0x00000000,
+       0x8805c801,
+       0x8a2020c0,
+       0x4b0002c0,
+       0x00b00068,
+       0x801b0002,
+       0xc000a300,
+       0x2c005b10,
+       0x02e20170,
+       0x00000000,
+       0xc015a00b,
+       0x8a81a2e0,
+       0x1ba882e8,
+       0x00b80022,
+       0x801ba102,
+       0xe008b800,
+       0x2e401ba0,
+       0x02f00460,
+       0x00000000,
+       0x4015ee40,
+       0xc28832f0,
+       0x0f8813e5,
+       0x00f8903a,
+       0x700f9033,
+       0xe480f850,
+       0x3e340f89,
+       0x23d00470,
+       0x00000000,
+       0xe001b400,
+       0xfe003fc0,
+       0x8fe023f2,
+       0x40fc003f,
+       0x700ff803,
+       0xfc00fc00,
+       0x3f800fd0,
+       0x03f80060,
+       0x00000000,
+       0x4010a020,
+       0xca20b290,
+       0x07a403e5,
+       0x80f8003e,
+       0xd80f9503,
+       0xe900eb40,
+       0x3e108f90,
+       0x83d00420,
+       0x00000000,
+       0xc8050400,
+       0xaa00aa88,
+       0x0bb802e9,
+       0x00b1022e,
+       0xf00bb002,
+       0xe880b800,
+       0x2ec80b92,
+       0x02f20040,
+       0x00000000,
+       0xe0054400,
+       0x90d12040,
+       0x032002c9,
+       0x00b2092c,
+       0x00030c82,
+       0xc000b000,
+       0x2cc00b00,
+       0x02f80050,
+       0x00000000,
+       0x20011e00,
+       0xb4902964,
+       0x0b7802d6,
+       0x00b6802d,
+       0xa40b4802,
+       0xda00b780,
+       0x2d208b68,
+       0x06c80040,
+       0x00000000,
+       0x48080540,
+       0xda103044,
+       0x0f0183c8,
+       0x00f2003c,
+       0x420f1413,
+       0xc440f340,
+       0x3cd00f10,
+       0x01d20200,
+       0x00000000,
+       0x401d9c40,
+       0xee103f44,
+       0x0ff103ff,
+       0x00fe103f,
+       0xe00fd883,
+       0xf440ff10,
+       0x3f460fe1,
+       0x03d00660,
+       0x00000000,
+       0xa805ec00,
+       0xf20232a0,
+       0x0ca003ed,
+       0x00fa003e,
+       0x320ca603,
+       0xe520e800,
+       0x3ed20fa4,
+       0x93ea0070,
+       0x00000000,
+       0x48119c28,
+       0xb4002180,
+       0x087002d5,
+       0x80b6002d,
+       0x880a6302,
+       0xdd009750,
+       0x2d800b70,
+       0x02d20460,
+       0x00000000,
+       0xc0009e00,
+       0xbfc06ba0,
+       0x096802de,
+       0x00b6802f,
+       0x6c097a02,
+       0xde80b7a0,
+       0x2de01b7d,
+       0x02f00020,
+       0x00000000,
+       0x4814cb01,
+       0xbba02890,
+       0x093802cc,
+       0x00b3c02c,
+       0xd00b3902,
+       0xce409300,
+       0x2cc01b38,
+       0x02d20430,
+       0x00000000,
+       0xe815ab60,
+       0xfe00bbb2,
+       0x8dea03e8,
+       0x00f6da3e,
+       0x9005a003,
+       0xe811ea00,
+       0x3ea00fa5,
+       0x03fa0460,
+       0x00000000,
+       0x4800e108,
+       0xf8402618,
+       0x2e8053e0,
+       0x20f8003e,
+       0x180e8003,
+       0xe100b840,
+       0x3e040b80,
+       0x00d20030,
+       0x00000000,
+       0x0810ec04,
+       0xf9803240,
+       0x0f94a3e5,
+       0x00f90232,
+       0x400f9083,
+       0xe424f942,
+       0x32404f90,
+       0x03c20430,
+       0x00000000,
+       0x80046500,
+       0xb9e02248,
+       0x0b9402ee,
+       0x00b9d836,
+       0xd08bb482,
+       0xec00b380,
+       0x36c80bb0,
+       0x02e00010,
+       0x00000000,
+       0x18052400,
+       0xb910a2c0,
+       0x4a9082ec,
+       0x00b90062,
+       0xc00b9002,
+       0xe500a900,
+       0x22400b90,
+       0x86c60040,
+       0x00000000,
+       0x08040400,
+       0xb140a040,
+       0x1b1022c4,
+       0x08b10264,
+       0x401b1002,
+       0xc400bb02,
+       0x24400b10,
+       0x06c20100,
+       0x00000000,
+       0xb80d6800,
+       0xf800b200,
+       0x0e8802e0,
+       0x00f80032,
+       0x800f8003,
+       0xe001f800,
+       0x32000f80,
+       0x03ee0350,
+       0x00000000,
+       0x9819e400,
+       0xfd80bd40,
+       0x0fd401e4,
+       0x00ff001c,
+       0x400fb003,
+       0xe400f900,
+       0x3e400f90,
+       0x03e60670,
+       0x00000000,
+       0x1801f400,
+       0xcd003240,
+       0x0c500324,
+       0x00c50032,
+       0x404f9003,
+       0xc400f100,
+       0x3e400f90,
+       0x03c60070,
+       0x00000000,
+       0x3810e000,
+       0x88023600,
+       0x08800360,
+       0x0088002a,
+       0x000b8002,
+       0xe000b800,
+       0x2e000b80,
+       0x02ce0430,
+       0x00000000,
+       0x0805c403,
+       0x81002860,
+       0x88180224,
+       0x00810128,
+       0x405b1002,
+       0xc400b100,
+       0x2c401b18,
+       0x02c20170,
+       0x00000000,
+       0x1815a480,
+       0x8940ae40,
+       0x2a900264,
+       0x0089002a,
+       0x441b9002,
+       0xe580b900,
+       0x2e501b90,
+       0x02c60460,
+       0x00000000,
+       0xa011e620,
+       0xc9103840,
+       0x0c941304,
+       0x02c9901a,
+       0x580f9413,
+       0xe400f998,
+       0x3e504f90,
+       0x03e80470,
+       0x00000000,
+       0x2801a600,
+       0xf98036c8,
+       0x0d1c43e4,
+       0xa0f9003e,
+       0x400f9003,
+       0xe620f902,
+       0x3e400f90,
+       0x83ca0060,
+       0x00000000,
+       0x2810a000,
+       0xf8413200,
+       0x07800320,
+       0x00f84032,
+       0x100f8603,
+       0xe100c854,
+       0x32000f80,
+       0x03ca0420,
+       0x00000000,
+       0x28051b08,
+       0xbea002a0,
+       0x03e00368,
+       0x80b6d816,
+       0x800ba202,
+       0xe980da80,
+       0x36a00ba0,
+       0x02ca0040,
+       0x00000000,
+       0x28054d81,
+       0xbbe120d8,
+       0x133a020f,
+       0x00b0c048,
+       0xe4133c02,
+       0xcf0083e0,
+       0x20dc0b30,
+       0x02ca0050,
+       0x00000000,
+       0xa0011d00,
+       0xb6002150,
+       0x0b70825c,
+       0x00b5002d,
+       0xc00b7002,
+       0xf6009f80,
+       0x25408b60,
+       0x82e80040,
+       0x00000000,
+       0xa8081e00,
+       0xff8031e4,
+       0x0b780216,
+       0x00f78039,
+       0xa20f7883,
+       0xde20c480,
+       0x31a20f78,
+       0x03ea0200,
+       0x00000000,
+       0x081dac10,
+       0xf800be50,
+       0x0bb003e5,
+       0x00fa0036,
+       0xc00fa003,
+       0xe480f808,
+       0x3e000fa2,
+       0x03c20660,
+       0x00000000,
+       0x0001d600,
+       0xce8033e0,
+       0xcdf803fb,
+       0x40f78033,
+       0x600fe803,
+       0xfe00ff80,
+       0x3fe40cfc,
+       0x03000070,
+       0x00000000,
+       0xa8119408,
+       0xdc002140,
+       0x0b7002dc,
+       0x20b60001,
+       0xc00b5002,
+       0xd480b720,
+       0x2d400a50,
+       0x02aa0460,
+       0x00000000,
+       0x0000b420,
+       0x86002180,
+       0x096002d2,
+       0x08bd0821,
+       0x200b6802,
+       0xd804b400,
+       0x6f8018e0,
+       0x02800020,
+       0x00000000,
+       0x2014c500,
+       0x9800a000,
+       0x0b0082c6,
+       0x20b088a0,
+       0xc00b0002,
+       0xc000b0d0,
+       0x2c340a04,
+       0x02880430,
+       0x00000000,
+       0xa815a500,
+       0xcba132a0,
+       0x0db803ec,
+       0x00fb0032,
+       0xe0079003,
+       0xe808fb00,
+       0x3ec00c98,
+       0x03aa0460,
+       0x00000000,
+       0x8000e101,
+       0xfa403ea0,
+       0x039503e8,
+       0x04fa403e,
+       0x1487b107,
+       0xe940fb08,
+       0x3ec20f81,
+       0x82e00030,
+       0x00000000,
+       0x0110f420,
+       0xff0037e0,
+       0x0ff813fe,
+       0x00fd202b,
+       0x800fd803,
+       0x390cff12,
+       0x3fc40fd8,
+       0x03c04430,
+       0x00000000,
+       0x81046380,
+       0xf88022d8,
+       0x0b9422e9,
+       0x20b00022,
+       0x100b2002,
+       0x2b80bb00,
+       0x2ec00b88,
+       0x02e04010,
+       0x00000000,
+       0x80052200,
+       0xbac02604,
+       0x8bb602e0,
+       0x41bb0822,
+       0x4a0b8202,
+       0x2400b80b,
+       0x2e02cbb2,
+       0x02e00040,
+       0x00000000,
+       0x08040008,
+       0xb2002000,
+       0x0b3022c0,
+       0x01ba0020,
+       0x000b900a,
+       0x04003000,
+       0x2c000b10,
+       0x02c20100,
+       0x00000000,
+       0x000d6400,
+       0xfb003600,
+       0x0fb003e0,
+       0x00f90032,
+       0x000f8003,
+       0x20007800,
+       0x3e000fa0,
+       0x03c00350,
+       0x00000000,
+       0xa01df400,
+       0xee00bf00,
+       0x0fd003f0,
+       0x00fc0037,
+       0x000fc001,
+       0xf000fc00,
+       0x3d000f40,
+       0x23e80670,
+       0x00000000,
+       0x00c54103,
+       0x7040dc10,
+       0x37040dc1,
+       0x037040dc,
+       0x1077040d,
+       0xc1017041,
+       0xdc103704,
+       0x1dc03100,
+       0x00000000,
+       0x00c54405,
+       0x71015c40,
+       0x571015c4,
+       0x0571015c,
+       0x41771015,
+       0xc4057100,
+       0x48404710,
+       0x15c03150,
+       0x00000000,
+       0x00800201,
+       0x20804820,
+       0x12080482,
+       0x01208048,
+       0x20120804,
+       0x82012080,
+       0x48201208,
+       0x04802000,
+       0x00000000,
+       0x00800001,
+       0x60005800,
+       0x16000580,
+       0x01600058,
+       0x00140005,
+       0x80056001,
+       0x50001700,
+       0x15802000,
+       0x00000000,
+       0x00c54805,
+       0x72015c80,
+       0x572015c8,
+       0x0572015c,
+       0x80572015,
+       0xc8057201,
+       0x54805520,
+       0x15c03150,
+       0x00000000,
+       0x00c54000,
+       0x60001800,
+       0x06000180,
+       0x00600018,
+       0x00060001,
+       0x80006000,
+       0x08000600,
+       0x01803100,
+       0x00000000,
+       0x00c54804,
+       0x22010880,
+       0x42201088,
+       0x04220108,
+       0x80422010,
+       0x80042001,
+       0x18804220,
+       0x10803100,
+       0x00000000,
+       0x00454a05,
+       0x428150a0,
+       0x5428150a,
+       0x05428150,
+       0xa0142815,
+       0x02014080,
+       0x50b0542c,
+       0x05003150,
+       0x00000000,
+       0x00800c01,
+       0x530055c0,
+       0x1530054c,
+       0x01530055,
+       0xc0157005,
+       0x4c015700,
+       0x40c01130,
+       0x04402000,
+       0x00000000,
+       0x00800000,
+       0x40001000,
+       0x04004100,
+       0x00400010,
+       0x01040001,
+       0x08104000,
+       0x00000100,
+       0x00412010,
+       0x00000000,
+       0x00c56002,
+       0x08008200,
+       0x20800820,
+       0x02080082,
+       0x01208008,
+       0x20022801,
+       0x82002180,
+       0x08413150,
+       0x00000000,
+       0x00c54001,
+       0x60015900,
+       0x56000580,
+       0x05600259,
+       0x00564015,
+       0x80076401,
+       0x48005600,
+       0x15803100,
+       0x00000000,
+       0x00c54003,
+       0x6000d800,
+       0x36000d80,
+       0x036000d8,
+       0x00160005,
+       0x88016003,
+       0xd8003600,
+       0x0d803100,
+       0x00000000,
+       0x00c54204,
+       0x30810c20,
+       0x430810c2,
+       0x0430810c,
+       0x20430810,
+       0x42043080,
+       0x8c204308,
+       0x10c03150,
+       0x00000000,
+       0x00800000,
+       0x30000c00,
+       0x030000c0,
+       0x0010000c,
+       0x00010000,
+       0xc0103204,
+       0x0c000300,
+       0x00c02000,
+       0x00000000,
+       0x00800201,
+       0x30804c20,
+       0x130804c2,
+       0x0130804c,
+       0x20130804,
+       0xc30532c0,
+       0x4c201308,
+       0x04c02110,
+       0x00000000,
+       0x00c54205,
+       0x60815820,
+       0x56081582,
+       0x05608158,
+       0x20570815,
+       0x830560c1,
+       0x58205608,
+       0x15803050,
+       0x00000000,
+       0x00c54200,
+       0x20800820,
+       0x02080082,
+       0x00208008,
+       0x20020800,
+       0x82003080,
+       0x08200208,
+       0x00803000,
+       0x00000000,
+       0x00c44204,
+       0x60811820,
+       0x46081182,
+       0x04608118,
+       0x20460811,
+       0x82043481,
+       0x0d204648,
+       0x11803100,
+       0x00000000,
+       0x00c56005,
+       0x58011600,
+       0x55801560,
+       0x05580156,
+       0x00518015,
+       0x60011800,
+       0x42005580,
+       0x15403150,
+       0x00000000,
+       0x00400601,
+       0x41805060,
+       0x14180506,
+       0x01418050,
+       0x60141805,
+       0x06015180,
+       0x54601418,
+       0x05002000,
+       0x00000000,
+       0x00800201,
+       0x00804020,
+       0x10080402,
+       0x01008040,
+       0x21500814,
+       0x02010480,
+       0x49211048,
+       0x04002000,
+       0x00000000,
+       0x00c54603,
+       0x5180d460,
+       0x35180d46,
+       0x035180d4,
+       0x6035180d,
+       0x46025181,
+       0x94603518,
+       0x0d403150,
+       0x00000000,
+       0x00c54605,
+       0x71815c60,
+       0x571805c6,
+       0x0571815c,
+       0x60571815,
+       0xc6077181,
+       0x5c605718,
+       0x15c03100,
+       0x00000000,
+       0x00454617,
+       0x7180dc60,
+       0x37180dc6,
+       0x037180dc,
+       0x6037180d,
+       0xc6017181,
+       0xdc603718,
+       0x0dc01100,
+       0x00000000,
+       0x00454605,
+       0x71815c60,
+       0x571815c6,
+       0x0571815c,
+       0x60571815,
+       0xc6047180,
+       0x08605718,
+       0x15c01150,
+       0x00000000,
+       0x00000201,
+       0x20804820,
+       0x12080482,
+       0x01208048,
+       0x20120804,
+       0x82013084,
+       0x48201208,
+       0x04800000,
+       0x00000000,
+       0x00000605,
+       0x61805860,
+       0x16180586,
+       0x01618058,
+       0x60161805,
+       0x86056181,
+       0x08601618,
+       0x05800000,
+       0x00000000,
+       0x00454001,
+       0x70015c00,
+       0x570015c0,
+       0x0570015c,
+       0x00430014,
+       0xc0057000,
+       0x4c015700,
+       0x15c01150,
+       0x00000000,
+       0x00454200,
+       0x60801820,
+       0x06080182,
+       0x00608018,
+       0x20020800,
+       0x82006080,
+       0x08200608,
+       0x01801100,
+       0x00000000,
+       0x00454200,
+       0x20810820,
+       0x42081082,
+       0x04208108,
+       0x20460811,
+       0x8a140080,
+       0x18204208,
+       0x10801100,
+       0x00000000,
+       0x00454201,
+       0x40815020,
+       0x54081502,
+       0x05408150,
+       0x30550c15,
+       0x420140c0,
+       0x54205408,
+       0x15001150,
+       0x00000000,
+       0x00000301,
+       0x50c05430,
+       0x150c0543,
+       0x0150c054,
+       0x30150c05,
+       0x4b015080,
+       0x54201508,
+       0x05400000,
+       0x00000000,
+       0x00000800,
+       0x42001080,
+       0x04200108,
+       0x00420010,
+       0x00040001,
+       0x00004000,
+       0x10800620,
+       0x01000000,
+       0x00000000,
+       0x00454202,
+       0x00808020,
+       0x20080802,
+       0x02008080,
+       0xa0202808,
+       0x02020081,
+       0x88202008,
+       0x08001150,
+       0x00000000,
+       0x00454005,
+       0x60015800,
+       0x56002580,
+       0x05600158,
+       0x00560015,
+       0x80076001,
+       0x58005600,
+       0x15801100,
+       0x00000000,
+       0x00c54003,
+       0x6000d800,
+       0x36000d80,
+       0x036000d8,
+       0x0036001d,
+       0x80016001,
+       0xd8007600,
+       0x0d800000,
+       0x00000000,
+       0x00000004,
+       0x30010c00,
+       0x430010c0,
+       0x0430010c,
+       0x00430058,
+       0xc0047000,
+       0x08104300,
+       0x10c00000,
+       0x00000000,
+       0x00000000,
+       0x30000c40,
+       0x030000c0,
+       0x0010000c,
+       0x00030000,
+       0xd0002400,
+       0x08000300,
+       0x00c00000,
+       0x00000000,
+       0x00000501,
+       0x31404c50,
+       0x131404c5,
+       0x1131404c,
+       0x50131404,
+       0xc5053100,
+       0x48505310,
+       0x04c00000,
+       0x00000000,
+       0x00002305,
+       0x68c15a30,
+       0x568c15a3,
+       0x0568c15a,
+       0x30568c15,
+       0xa30568c1,
+       0x5a30568c,
+       0x15800000,
+       0x00000000,
+       0x00000000,
+       0x20000850,
+       0x02000080,
+       0x00200008,
+       0x80022000,
+       0x90002400,
+       0x08000200,
+       0x00800000,
+       0x00000000,
+       0x00000844,
+       0x62111880,
+       0x46211188,
+       0x44621118,
+       0x04460151,
+       0x80446015,
+       0x18844621,
+       0x11800000,
+       0x00000000,
+       0x00000045,
+       0x50115404,
+       0x55011540,
+       0x45501154,
+       0x04550105,
+       0x40415011,
+       0x54041501,
+       0x15400000,
+       0x00000000,
+       0x00000821,
+       0x42085082,
+       0x14208508,
+       0x21420850,
+       0x82152085,
+       0x4821420c,
+       0x50821420,
+       0x85000000,
+       0x00000000,
+       0x00000a01,
+       0x028040a0,
+       0x1028040a,
+       0x01028040,
+       0xa1102840,
+       0x0a000280,
+       0x00a01028,
+       0x04000000,
+       0x00000000,
+       0x00000c03,
+       0x5300d4c0,
+       0x35300d4c,
+       0x035300d4,
+       0xc0203008,
+       0x4c031305,
+       0xc4c03530,
+       0x0d400000,
+       0x00000000,
+       0x00000804,
+       0x72005c80,
+       0x572005c8,
+       0x0172015c,
+       0x80572015,
+       0xc8077201,
+       0x1c805720,
+       0x15c00000,
+       0x00000000,
+       0x00002318,
+       0x48c61031,
+       0x848c6123,
+       0x1848c610,
+       0x31840c61,
+       0x231040c0,
+       0x1230848c,
+       0x21000000,
+       0x00000000,
+       0x00003fff,
+       0x4fffd3ff,
+       0xf4fffd3f,
+       0xff4fffd3,
+       0xfff4fffd,
+       0x3fff4fff,
+       0xd3fff4ff,
+       0xfd000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00002cdb,
+       0x0b36c3ed,
+       0xb0b36c2c,
+       0xdb0b36c2,
+       0xcdb0b36c,
+       0x2cdb0b36,
+       0xc2cdb0b3,
+       0x6c000000,
+       0x00000000,
+       0x0000333c,
+       0x4ccf13f3,
+       0xc4ccf133,
+       0x3c4ccf13,
+       0x33c4ccf1,
+       0x333c4ccf,
+       0x1333c4cc,
+       0xf1000000,
+       0x00000000,
+       0x00003b7e,
+       0x4edf93b7,
+       0xe4edf93b,
+       0x7e4edf92,
+       0x37e48df9,
+       0x3b7848c6,
+       0x13b1e4ec,
+       0x79000000,
+       0x00000000,
+       0x00000102,
+       0x70409c10,
+       0x270409c1,
+       0x0270409c,
+       0x10670409,
+       0xc1027040,
+       0x9c102714,
+       0x09c00000,
+       0x00000000,
+       0x00000405,
+       0x71015c40,
+       0x571015c4,
+       0x0571015c,
+       0x40571015,
+       0xc4057101,
+       0x5c405710,
+       0x15c00000,
+       0x00000000,
+       0x00000201,
+       0x20804820,
+       0x12080482,
+       0x01208048,
+       0x20120804,
+       0x82012080,
+       0x48201218,
+       0x04800000,
+       0x00000000,
+       0x00000000,
+       0x60001800,
+       0x06000180,
+       0x00600018,
+       0x00460001,
+       0x80006000,
+       0x18000600,
+       0x01800000,
+       0x00000000,
+       0x00000804,
+       0x72011c80,
+       0x472011c8,
+       0x0472011c,
+       0x80072011,
+       0xc8047201,
+       0x1c804728,
+       0x11c00000,
+       0x00000000,
+       0x00000000,
+       0x60001800,
+       0x06000180,
+       0x00600018,
+       0x00060001,
+       0x80006000,
+       0x18000600,
+       0x01800000,
+       0x00000000,
+       0x00000804,
+       0x22010880,
+       0x42201088,
+       0x04220108,
+       0x80022010,
+       0x88042201,
+       0x08804260,
+       0x10800000,
+       0x00000000,
+       0x00002a04,
+       0x4a8112a0,
+       0x44a8112a,
+       0x044a8112,
+       0xa004a811,
+       0x2a044a81,
+       0x12a044a8,
+       0x11000000,
+       0x00000000,
+       0x08c00c00,
+       0x530014c0,
+       0x0530014c,
+       0x00530014,
+       0xc0053001,
+       0x4c005300,
+       0x14c00530,
+       0x01401000,
+       0x00000000,
+       0x00c00000,
+       0x40001000,
+       0x04004100,
+       0x00400010,
+       0x00040001,
+       0x00004000,
+       0x10000440,
+       0x01003000,
+       0x00000000,
+       0x08c04002,
+       0x00008000,
+       0x20000800,
+       0x02000080,
+       0x00200008,
+       0x00020000,
+       0x80002000,
+       0x08003000,
+       0x00000000,
+       0x08c04000,
+       0x60011800,
+       0x46001180,
+       0x04600118,
+       0x00460011,
+       0x80046001,
+       0x18004600,
+       0x11803000,
+       0x00000000,
+       0x10014002,
+       0x60009800,
+       0x26000980,
+       0x02600098,
+       0x00460009,
+       0x80026000,
+       0x98002600,
+       0x09820000,
+       0x00000000,
+       0x40454204,
+       0x30810c20,
+       0x430810c2,
+       0x0430810c,
+       0x20030810,
+       0xc2043081,
+       0x0c204308,
+       0x10c01150,
+       0x00000000,
+       0x40000000,
+       0x30000c00,
+       0x030000c0,
+       0x0030000c,
+       0x00030000,
+       0xc0003000,
+       0x0c000300,
+       0x00c00000,
+       0x00000000,
+       0x40000200,
+       0x30800c20,
+       0x030800c2,
+       0x0030800c,
+       0x20430800,
+       0xc2003080,
+       0x0c200308,
+       0x00c00000,
+       0x00000000,
+       0x40454204,
+       0x60811820,
+       0x46081182,
+       0x04608118,
+       0x20060811,
+       0x82046081,
+       0x18204608,
+       0x11801150,
+       0x00000000,
+       0x40014200,
+       0x20800820,
+       0x02080082,
+       0x00208008,
+       0x20020800,
+       0x82002080,
+       0x08200208,
+       0x00800000,
+       0x00000000,
+       0x50014204,
+       0x60811820,
+       0x46081182,
+       0x04608118,
+       0x20060811,
+       0x82046081,
+       0x18204608,
+       0x11800000,
+       0x00000000,
+       0x40454004,
+       0x50011400,
+       0x45001140,
+       0x04500114,
+       0x00050011,
+       0x40045001,
+       0x14004500,
+       0x11421150,
+       0x00000000,
+       0x48000600,
+       0x41801060,
+       0x04180106,
+       0x00418010,
+       0x60041801,
+       0x06004180,
+       0x10600418,
+       0x01000000,
+       0x00000000,
+       0x48000201,
+       0x00804020,
+       0x10084402,
+       0x01008040,
+       0x20100804,
+       0x02010084,
+       0x40201008,
+       0x04000000,
+       0x00000000,
+       0x40454603,
+       0x5180d460,
+       0x35180d46,
+       0x035180d4,
+       0x6015180d,
+       0x46035180,
+       0xd4603518,
+       0x0d401150,
+       0x00000000,
+       0x00014600,
+       0x71811c60,
+       0x471811c6,
+       0x0471811c,
+       0x60671811,
+       0xc6047181,
+       0x1c604718,
+       0x11c00000,
+       0x00000000,
+       0x40014602,
+       0x71809c60,
+       0x271809c6,
+       0x0271809c,
+       0x60271809,
+       0xc6027180,
+       0xcc602718,
+       0x09c00000,
+       0x00000000,
+       0x50454605,
+       0x71815c60,
+       0x571815c6,
+       0x0571815c,
+       0x60571815,
+       0xc6057181,
+       0x4c605718,
+       0x15c21150,
+       0x00000000,
+       0x40000201,
+       0x20804820,
+       0x12080482,
+       0x01208048,
+       0x20120804,
+       0x82012080,
+       0x48201208,
+       0x04800000,
+       0x00000000,
+       0x40000600,
+       0x61801860,
+       0x06180186,
+       0x00618018,
+       0x60061801,
+       0x86006180,
+       0x18600618,
+       0x01800100,
+       0x00000000,
+       0x00456004,
+       0x78011e00,
+       0x478011e0,
+       0x0478011e,
+       0x00478011,
+       0xe0047801,
+       0x1e004780,
+       0x11c01150,
+       0x00000000,
+       0x40014200,
+       0x60801820,
+       0x06080182,
+       0x00608018,
+       0x20060801,
+       0x82006080,
+       0x18200608,
+       0x01800000,
+       0x00000000,
+       0x40014204,
+       0x20810820,
+       0x42081082,
+       0x04208108,
+       0x20420810,
+       0x82042081,
+       0x08204208,
+       0x10800000,
+       0x00000000,
+       0x40454204,
+       0x40811020,
+       0x44081102,
+       0x04408110,
+       0x20440811,
+       0x02044081,
+       0x10204408,
+       0x11001150,
+       0x00000000,
+       0x40000300,
+       0x50c01430,
+       0x050c0143,
+       0x0050c014,
+       0x30050c01,
+       0x430050c0,
+       0x1430050c,
+       0x01400000,
+       0x00000000,
+       0x40000800,
+       0x42001080,
+       0x04200108,
+       0x00420010,
+       0x80042001,
+       0x08004200,
+       0x10800420,
+       0x01000000,
+       0x00000000,
+       0x40454202,
+       0x00808020,
+       0x20080802,
+       0x02008080,
+       0x20200808,
+       0x02020080,
+       0x80202008,
+       0x08001150,
+       0x00000000,
+       0x40014004,
+       0x60011800,
+       0x46001180,
+       0x04600118,
+       0x00460011,
+       0x80046001,
+       0x18004600,
+       0x11800000,
+       0x00000000,
+       0x40014002,
+       0x60009800,
+       0x26000980,
+       0x02600098,
+       0x00260009,
+       0x80026000,
+       0x98002600,
+       0x09800000,
+       0x00000000,
+       0x40456004,
+       0x38010e00,
+       0x438010e0,
+       0x0438010e,
+       0x00438010,
+       0xe0043801,
+       0x0e004380,
+       0x10c01150,
+       0x00000000,
+       0x50000100,
+       0x30400c10,
+       0x030400c1,
+       0x0030400c,
+       0x10030400,
+       0xc1003040,
+       0x0c100304,
+       0x00c00000,
+       0x00000000,
+       0x40000500,
+       0x31400c50,
+       0x031400c5,
+       0x0031400c,
+       0x50031400,
+       0xc5003140,
+       0x0c500314,
+       0x00c20000,
+       0x00000000,
+       0x40454304,
+       0x60c11830,
+       0x460c1183,
+       0x0460c118,
+       0x30460c11,
+       0x830460c1,
+       0x1830460c,
+       0x11801150,
+       0x00000000,
+       0x40014000,
+       0x20000800,
+       0x02000080,
+       0x00200008,
+       0x00020000,
+       0x80002000,
+       0x08000200,
+       0x00800000,
+       0x00000000,
+       0x40014844,
+       0x62111884,
+       0x46211188,
+       0x44621118,
+       0x84462111,
+       0x88446211,
+       0x18844621,
+       0x11800000,
+       0x00000000,
+       0x40454044,
+       0x50111404,
+       0x45011140,
+       0x44501114,
+       0x04450111,
+       0x40445011,
+       0x14044501,
+       0x11401150,
+       0x00000000,
+       0x40000820,
+       0x42081082,
+       0x04208108,
+       0x20420810,
+       0x82042081,
+       0x08204208,
+       0x10820420,
+       0x01000000,
+       0x00000000,
+       0x00000a01,
+       0x028040a0,
+       0x1028040a,
+       0x01028040,
+       0xa0102804,
+       0x0a010280,
+       0x40a01028,
+       0x04000000,
+       0x00000000,
+       0x40454d03,
+       0x5340d4d0,
+       0x35340d4d,
+       0x035340d4,
+       0xd035340d,
+       0x4d031340,
+       0xc4d03534,
+       0x0d401150,
+       0x00000000,
+       0x40014804,
+       0x72011c80,
+       0x472011c8,
+       0x0472011c,
+       0x80472011,
+       0xc8053201,
+       0x4c804720,
+       0x11c00000,
+       0x00000000,
+       0x00002318,
+       0x48c61231,
+       0x848c6123,
+       0x1848c612,
+       0x31848c61,
+       0x231848c6,
+       0x1231848c,
+       0x41000000,
+       0x00000000,
+       0x00003fff,
+       0x4fffd3ff,
+       0xf4fffd3f,
+       0xff4fffd3,
+       0xfff4fffd,
+       0x3fff4fff,
+       0xd3fff4ff,
+       0xfd000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00002cdb,
+       0x0b36c2cd,
+       0xb0b36c2c,
+       0xdb0b36c2,
+       0xcdb0b36c,
+       0x2cdb0b36,
+       0xc2cdb0b3,
+       0x7d000000,
+       0x00000000,
+       0x0000333c,
+       0x4ccf1333,
+       0xc4ccf133,
+       0x3c4ccf13,
+       0x33c4ccf1,
+       0x333c4ccf,
+       0x1333c4cc,
+       0xfd000000,
+       0x00000000,
+       0x00003b7e,
+       0x4edf93b7,
+       0xe4edf93b,
+       0x7e4edf93,
+       0xb7e4edf9,
+       0x3b7e4edf,
+       0x93b7e4ed,
+       0xf9000000,
+       0x00000000,
+       0x00000284,
+       0x00a10028,
+       0x400a1002,
+       0x8400a100,
+       0x28400a10,
+       0x028400a1,
+       0x0028400a,
+       0x10000000,
+       0x00000000,
+       0x00000004,
+       0x00010000,
+       0x40001008,
+       0x04020100,
+       0x80402010,
+       0x08040201,
+       0x00804020,
+       0x10000000,
+       0x00000000,
+       0x00000084,
+       0x00210008,
+       0x40021000,
+       0x84002100,
+       0x08400210,
+       0x00840021,
+       0x00084002,
+       0x10000000,
+       0x00000000,
+       0x00000804,
+       0x02010080,
+       0x40201008,
+       0x04020100,
+       0x00400010,
+       0x00040201,
+       0x00804020,
+       0x10000000,
+       0x00000000,
+       0x00000880,
+       0x02200088,
+       0x00220008,
+       0x80022000,
+       0x08000200,
+       0x00800020,
+       0xcc080002,
+       0x00000000,
+       0x00000000,
+       0x08000200,
+       0x00800020,
+       0x00080002,
+       0x00008000,
+       0x20000800,
+       0x02000080,
+       0x00200008,
+       0x00020000,
+       0x00000000,
+       0x08000880,
+       0x02200088,
+       0x00220008,
+       0x80022000,
+       0x88002200,
+       0x08800220,
+       0x00880022,
+       0x00020000,
+       0x00000000,
+       0x08000800,
+       0x02000080,
+       0x00200008,
+       0x00020000,
+       0x80002000,
+       0x08000200,
+       0xcc800020,
+       0x00020000,
+       0x00000000,
+       0x08000a84,
+       0x02a100a8,
+       0x402a100a,
+       0x8402a100,
+       0xa8402a10,
+       0x0a8402a1,
+       0x00a8402a,
+       0x10020000,
+       0x00000000,
+       0x08000804,
+       0x02010080,
+       0x40201008,
+       0x04020100,
+       0x80402010,
+       0x08040201,
+       0x00804020,
+       0x10020000,
+       0x00000000,
+       0x08000084,
+       0x00210008,
+       0x40021000,
+       0x84002100,
+       0x08400210,
+       0x00840021,
+       0x00084002,
+       0x10020000,
+       0x00000000,
+       0x08100007,
+       0x3001cc00,
+       0x73001cc0,
+       0x073001cc,
+       0x0073001c,
+       0xc0073001,
+       0x00007300,
+       0x1cc20400,
+       0x00000000,
+       0x08000084,
+       0x00210008,
+       0x40021000,
+       0x84002100,
+       0x08400210,
+       0x00873021,
+       0x00084002,
+       0x10020000,
+       0x00000000,
+       0x00000200,
+       0x00800020,
+       0x00080002,
+       0x00008000,
+       0x20000800,
+       0x02040080,
+       0x00200008,
+       0x00000000,
+       0x00000000,
+       0x00000080,
+       0x00200008,
+       0x00020000,
+       0x80002000,
+       0x08000200,
+       0x00840020,
+       0x00080002,
+       0x00000000,
+       0x00000000,
+       0x00108000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00033000,
+       0x00000000,
+       0x00000420,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x3c3c0000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x000f0f00,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00000284,
+       0x00a10028,
+       0x400a1002,
+       0x8400a100,
+       0x28400a10,
+       0x028730a1,
+       0x0028400a,
+       0x10000000,
+       0x00000000,
+       0x00000004,
+       0x00010000,
+       0x40001000,
+       0x04000100,
+       0x00400010,
+       0x00040001,
+       0x00004000,
+       0x10000000,
+       0x00000000,
+       0x00000084,
+       0x00210008,
+       0x40021000,
+       0x84002100,
+       0x08400210,
+       0x00840021,
+       0x00084002,
+       0x10000000,
+       0x00000000,
+       0x00000004,
+       0x00010000,
+       0x40001000,
+       0x04000100,
+       0x00400010,
+       0x00073001,
+       0x00004000,
+       0x10000000,
+       0x00000000,
+       0x00000880,
+       0x02200088,
+       0x00220008,
+       0x80002000,
+       0x88000200,
+       0x08833220,
+       0x00880022,
+       0x00000000,
+       0x00000000,
+       0x08000200,
+       0x00800020,
+       0x00080002,
+       0x00008000,
+       0x20000800,
+       0x02000080,
+       0x00200008,
+       0x00020000,
+       0x00000000,
+       0x08000880,
+       0x02200088,
+       0x00220008,
+       0x80022000,
+       0x88002200,
+       0x08800220,
+       0x00880022,
+       0x00020000,
+       0x00000000,
+       0x08000800,
+       0x02000080,
+       0x00200008,
+       0x00020000,
+       0x80002000,
+       0x08033200,
+       0x00800020,
+       0x00020000,
+       0x00000000,
+       0x08000a84,
+       0x02a100a8,
+       0x402a100a,
+       0x8402a100,
+       0xa8402a10,
+       0x0a8732a1,
+       0xcca8402a,
+       0x10020000,
+       0x00000000,
+       0x08000804,
+       0x02010080,
+       0x40201008,
+       0x04020100,
+       0x80402010,
+       0x08040201,
+       0x00804020,
+       0x10020000,
+       0x00000000,
+       0x08000084,
+       0x00210008,
+       0x40021000,
+       0x84002100,
+       0x08400210,
+       0x00840021,
+       0x00084002,
+       0x10020000,
+       0x00000000,
+       0x08100007,
+       0x3001cc00,
+       0x73001cc0,
+       0x073001cc,
+       0x0073001c,
+       0xc0073001,
+       0xcc007300,
+       0x1cc20400,
+       0x00000000,
+       0x08000084,
+       0x00210008,
+       0x40021000,
+       0x84002100,
+       0x08400210,
+       0x00840021,
+       0x00084002,
+       0x10020000,
+       0x00000000,
+       0x00000200,
+       0x00800020,
+       0x00080002,
+       0x00008000,
+       0x20000800,
+       0x02000080,
+       0x00200008,
+       0x00000000,
+       0x00000000,
+       0x00000080,
+       0x00200008,
+       0x00020000,
+       0x80002000,
+       0x08000200,
+       0x00800020,
+       0x00080002,
+       0x00000000,
+       0x00000000,
+       0x00108000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000420,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x3c3c0000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x000f0f00,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00003fff,
+       0xffffc000,
+       0x0000003f,
+       0xffffffc0,
+       0x00000000,
+       0x3fffffff,
+       0xc0000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x30002001,
+       0x02000000,
+       0x30004249,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x30002001,
+       0x02020000,
+       0x30004240,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x30000001,
+       0x000004f0,
+       0x30008001,
+       0x00000003,
+       0x30004009,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x30008001,
+       0x00000005,
+       0x3000a001,
+       0x00000000,
+       0x30000001,
+       0x0000c17d,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+       0x00000000,
+};
+
+const DWORD FpgaImageLen = sizeof(FpgaImage) / sizeof(FpgaImage[0]);
+
index 2a079fdbe266199710e6feb9ab346b6b898e0625..2579b0538da0fb3a7ffa761078591cf03efa9f6a 100644 (file)
@@ -6,7 +6,7 @@
 //-----------------------------------------------------------------------------\r
 #include <proxmark3.h>\r
 #include "apps.h"\r
-#include "..\common\iso14443_crc.c"\r
+#include "../common/iso14443_crc.c"\r
 \r
 \r
 //static void GetSamplesFor14443(BOOL weTx, int n);\r
index a687d877905853ec01303eb29e1a03bd701b137a..0957d0514ab28f10574b51fcea703e1bab37291a 100644 (file)
@@ -5,7 +5,7 @@
 //-----------------------------------------------------------------------------\r
 #include <proxmark3.h>\r
 #include "apps.h"\r
-#include "..\common\iso14443_crc.c"\r
+#include "../common/iso14443_crc.c"\r
 \r
 typedef enum {\r
        SEC_D = 1,\r
@@ -1686,7 +1686,7 @@ void ReaderIso14443a(DWORD parameter)
 \r
 // OK we have selected at least at cascade 1, lets see if first byte of UID was 0x88 in \r
 // which case we need to make a cascade 2 request and select - this is a long UID\r
-               if (receivedAnswer[0] = 0x88)\r
+               if (receivedAnswer[0] == 0x88)\r
                {\r
                // Do cascade level 2 stuff\r
                ///////////////////////////////////////////////////////////////////\r
index f927c6a2ee65212c48a163d8d995413c69a5731c..815d0cf15f19816d1ff947826aed0cd4119d05d5 100644 (file)
@@ -1,3 +1,24 @@
+################\r
+## 2009/04/09 ##\r
+################\r
+winsrc/gui.cpp\r
+       Changes to PaintGraph to create X axis labels that are snapped to a power of two (useful when analysing low freq tags\r
+       with cycle times that are a power of two). Also small changes to keep the X axis labels fixed within the graph window\r
+       as the width of the graph window is resized.\r
+armsrc/apps.h\r
+       New defines for FPGA commands FPGA_CMD_SET_CONFREG, FPGA_CMD_SET_DIVISOR_REG\r
+armsrc/appmain.c\r
+\r
+armsrc/fpga.c\r
+       FpgaWriteConfWord(data) is now a special case of FpgaSendCommand(FPGA_CMD_SET_CONFREG, data) to avoid changing every\r
+       source file containing FpgaWriteConfWord()\r
+fpga/fpga.v\r
+       Changes to the serial conf word, now takes a 4 bit command and 12 bit data code\r
+fpga/lo_read.v\r
+       Significant changes to lo_read, it now can be configured with a divisor value to produce a configurable drive clock\r
+       for the antenna.\r
+       Recompiled FPGA code
+
 ################
 ## 2009/04/09 ##
 ################
index cbebc3955e102d566aa410fcc0d80bdfd5a6fc37..2a2269464a6589491bf218eff3e216d846f6cae7 100644 (file)
@@ -21,7 +21,7 @@
 `include "util.v"\r
 \r
 module fpga(\r
-       spck, miso, mosi, ncs,\r
+       spcki, miso, mosi, ncs,\r
        pck0i, ck_1356meg, ck_1356megb,\r
        pwr_lo, pwr_hi, pwr_oe1, pwr_oe2, pwr_oe3, pwr_oe4,\r
        adc_d, adc_clk, adc_noe,\r
@@ -29,7 +29,7 @@ module fpga(
        cross_hi, cross_lo,\r
        dbg\r
 );\r
-       input spck, mosi, ncs;\r
+       input spcki, mosi, ncs;\r
        output miso;\r
        input pck0i, ck_1356meg, ck_1356megb;\r
        output pwr_lo, pwr_hi, pwr_oe1, pwr_oe2, pwr_oe3, pwr_oe4;\r
@@ -40,11 +40,16 @@ module fpga(
        input cross_hi, cross_lo;\r
        output dbg;\r
 \r
+//assign pck0 = pck0i;\r
        IBUFG #(.IOSTANDARD("DEFAULT") ) pck0b(\r
                .O(pck0),\r
                .I(pck0i)\r
        );\r
-//assign pck0 = pck0i;\r
+//assign spck = spcki;\r
+       IBUFG #(.IOSTANDARD("DEFAULT") ) spckb(\r
+               .O(spck),\r
+               .I(spcki)\r
+       );\r
 //-----------------------------------------------------------------------------\r
 // The SPI receiver. This sets up the configuration word, which the rest of\r
 // the logic looks at to determine how to connect the A/D and the coil\r
@@ -52,7 +57,8 @@ module fpga(
 // to the configuration bits, for use below.\r
 //-----------------------------------------------------------------------------\r
 \r
-reg [7:0] conf_word_shift;\r
+reg [15:0] shift_reg;\r
+reg [7:0] divisor;\r
 reg [7:0] conf_word;\r
 \r
 // We switch modes between transmitting to the 13.56 MHz tag and receiving\r
@@ -60,15 +66,18 @@ reg [7:0] conf_word;
 // glitching, or else we will glitch the transmitted carrier.\r
 always @(posedge ncs)\r
 begin\r
-       conf_word <= conf_word_shift;\r
+       case(shift_reg[15:12])\r
+               4'b0001: conf_word <= shift_reg[7:0];\r
+               4'b0010: divisor <= shift_reg[7:0];\r
+       endcase\r
 end\r
 \r
 always @(posedge spck)\r
 begin\r
        if(~ncs)\r
        begin\r
-               conf_word_shift[7:1] <= conf_word_shift[6:0];\r
-               conf_word_shift[0] <= mosi;\r
+               shift_reg[15:1] <= shift_reg[14:0];\r
+               shift_reg[0] <= mosi;\r
        end\r
 end\r
 \r
@@ -110,7 +119,7 @@ lo_read lr(
        lr_ssp_frame, lr_ssp_din, ssp_dout, lr_ssp_clk,\r
        cross_hi, cross_lo,\r
        lr_dbg,\r
-       lo_is_125khz\r
+       lo_is_125khz, divisor\r
 );\r
 \r
 lo_simulate ls(\r
index 9c3edb2258fcf23638c499d4a02426fa1859dd70..d7b45cb5927fd00a96480e210b649cd818df0fc5 100644 (file)
@@ -1,6 +1,6 @@
 //-----------------------------------------------------------------------------\r
 // The way that we connect things in low-frequency read mode. In this case\r
-// we are generating the 134 kHz or 125 kHz carrier, and running the \r
+// we are generating the 134 kHz or 125 kHz carrier, and running the\r
 // unmodulated carrier at that frequency. The A/D samples at that same rate,\r
 // and the result is serialized.\r
 //\r
@@ -14,7 +14,7 @@ module lo_read(
     ssp_frame, ssp_din, ssp_dout, ssp_clk,\r
     cross_hi, cross_lo,\r
     dbg,\r
-    lo_is_125khz\r
+    lo_is_125khz, divisor\r
 );\r
     input pck0, ck_1356meg, ck_1356megb;\r
     output pwr_lo, pwr_hi, pwr_oe1, pwr_oe2, pwr_oe3, pwr_oe4;\r
@@ -25,6 +25,7 @@ module lo_read(
     input cross_hi, cross_lo;\r
     output dbg;\r
     input lo_is_125khz;\r
+    input [7:0] divisor;\r
 \r
 // The low-frequency RFID stuff. This is relatively simple, because most\r
 // of the work happens on the ARM, and we just pass samples through. The\r
@@ -38,65 +39,39 @@ module lo_read(
 // 125 kHz by dividing by a further factor of (8*12*2), or ~134 kHz by\r
 // dividing by a factor of (8*11*2) (for 136 kHz, ~2% error, tolerable).\r
 \r
-reg [3:0] pck_divider;\r
-reg clk_lo;\r
-\r
-always @(posedge pck0)\r
-begin\r
-    if(lo_is_125khz)\r
-    begin\r
-        if(pck_divider == 4'd11)\r
-        begin\r
-            pck_divider <= 4'd0;\r
-            clk_lo = !clk_lo;\r
-        end\r
-        else\r
-            pck_divider <= pck_divider + 1;\r
-    end\r
-    else\r
-    begin\r
-        if(pck_divider == 4'd10)\r
-        begin\r
-            pck_divider <= 4'd0;\r
-            clk_lo = !clk_lo;\r
-        end\r
-        else\r
-            pck_divider <= pck_divider + 1;\r
-    end\r
-end\r
-\r
-reg [2:0] carrier_divider_lo;\r
-\r
-always @(posedge clk_lo)\r
-begin\r
-    carrier_divider_lo <= carrier_divider_lo + 1;\r
-end\r
-\r
-assign pwr_lo = carrier_divider_lo[2];\r
-\r
-// This serializes the values returned from the A/D, and sends them out\r
-// over the SSP.\r
-\r
 reg [7:0] to_arm_shiftreg;\r
+reg [7:0] pck_divider;\r
+reg [6:0] ssp_divider;\r
+reg ant_lo;\r
 \r
-always @(posedge clk_lo)\r
+always @(posedge pck0)\r
 begin\r
-    if(carrier_divider_lo == 3'b000)\r
-        to_arm_shiftreg <= adc_d;\r
-    else\r
-        to_arm_shiftreg[7:1] <= to_arm_shiftreg[6:0];\r
+       if(pck_divider == 8'd0)\r
+               begin\r
+                       pck_divider <= divisor[7:0];\r
+                       ant_lo = !ant_lo;\r
+                       if(ant_lo == 1'b0)\r
+                       begin\r
+                           ssp_divider <= 7'b0011111;\r
+                               to_arm_shiftreg <= adc_d;\r
+                       end\r
+               end\r
+       else\r
+       begin\r
+               pck_divider <= pck_divider - 1;\r
+               if(ssp_divider[6] == 1'b0)\r
+               begin\r
+                       if (ssp_divider[1:0] == 1'b11) to_arm_shiftreg[7:1] <= to_arm_shiftreg[6:0];\r
+                       ssp_divider <= ssp_divider - 1;\r
+               end\r
+       end\r
 end\r
 \r
-assign ssp_clk = clk_lo;\r
-assign ssp_frame = (carrier_divider_lo == 3'b001);\r
 assign ssp_din = to_arm_shiftreg[7];\r
-\r
-// The ADC converts on the falling edge, and our serializer loads when\r
-// carrier_divider_lo == 3'b000.\r
-assign adc_clk = ~carrier_divider_lo[2];\r
-\r
+assign ssp_clk = pck_divider[1];\r
+assign ssp_frame = ~ssp_divider[5];\r
 assign pwr_hi = 1'b0;\r
-\r
+assign pwr_lo = ant_lo;\r
+assign adc_clk = ~ant_lo;\r
 assign dbg = adc_clk;\r
-\r
 endmodule\r
index 11908d77a824efb30d55ba29cc12dbf617bb2488..f7712832f13a0bce63ebcfb3f1540d7fa2a6e8bf 100644 (file)
@@ -1,5 +1,5 @@
+`include "lo_read_org.v"\r
 `include "lo_read.v"\r
-\r
 /*\r
        pck0                    - input main 24Mhz clock (PLL / 4)\r
        [7:0] adc_d             - input data from A/D converter\r
@@ -29,6 +29,7 @@ module testbed_lo_read;
        reg  pck0;\r
        reg  [7:0] adc_d;\r
        reg  lo_is_125khz;\r
+       reg [15:0] divisor;\r
 \r
        wire pwr_lo;\r
        wire adc_clk;\r
@@ -47,38 +48,61 @@ module testbed_lo_read;
        wire cross_hi;\r
        wire dbg;\r
 \r
-       lo_read #(5,200) dut(\r
+       lo_read_org #(5,10) dut1(\r
        .pck0(pck0),\r
-       .ck_1356meg(ck_1356meg),\r
-       .ck_1356megb(ck_1356megb),\r
-       .pwr_lo(pwr_lo),\r
-       .pwr_hi(pwr_hi),\r
-       .pwr_oe1(pwr_oe1),\r
-       .pwr_oe2(pwr_oe2),\r
-       .pwr_oe3(pwr_oe3),\r
-       .pwr_oe4(pwr_oe4),\r
+       .ck_1356meg(ack_1356meg),\r
+       .ck_1356megb(ack_1356megb),\r
+       .pwr_lo(apwr_lo),\r
+       .pwr_hi(apwr_hi),\r
+       .pwr_oe1(apwr_oe1),\r
+       .pwr_oe2(apwr_oe2),\r
+       .pwr_oe3(apwr_oe3),\r
+       .pwr_oe4(apwr_oe4),\r
        .adc_d(adc_d),\r
        .adc_clk(adc_clk),\r
-       .ssp_frame(ssp_frame),\r
-       .ssp_din(ssp_din),\r
-       .ssp_dout(ssp_dout),\r
-       .ssp_clk(ssp_clk),\r
-       .cross_hi(cross_hi),\r
-       .cross_lo(cross_lo),\r
-       .dbg(dbg),\r
+       .ssp_frame(assp_frame),\r
+       .ssp_din(assp_din),\r
+       .ssp_dout(assp_dout),\r
+       .ssp_clk(assp_clk),\r
+       .cross_hi(across_hi),\r
+       .cross_lo(across_lo),\r
+       .dbg(adbg),\r
        .lo_is_125khz(lo_is_125khz)\r
        );\r
 \r
-       integer idx, i;\r
+       lo_read #(5,10) dut2(\r
+       .pck0(pck0),\r
+       .ck_1356meg(bck_1356meg),\r
+       .ck_1356megb(bck_1356megb),\r
+       .pwr_lo(bpwr_lo),\r
+       .pwr_hi(bpwr_hi),\r
+       .pwr_oe1(bpwr_oe1),\r
+       .pwr_oe2(bpwr_oe2),\r
+       .pwr_oe3(bpwr_oe3),\r
+       .pwr_oe4(bpwr_oe4),\r
+       .adc_d(adc_d),\r
+       .adc_clk(badc_clk),\r
+       .ssp_frame(bssp_frame),\r
+       .ssp_din(bssp_din),\r
+       .ssp_dout(bssp_dout),\r
+       .ssp_clk(bssp_clk),\r
+       .cross_hi(bcross_hi),\r
+       .cross_lo(bcross_lo),\r
+       .dbg(bdbg),\r
+       .lo_is_125khz(lo_is_125khz),\r
+       .divisor(divisor)\r
+       );\r
+\r
+       integer idx, i, adc_val=8;\r
 \r
        // main clock\r
        always #5 pck0 = !pck0;\r
 \r
-       //new A/D value available from ADC on positive edge\r
        task crank_dut;\r
        begin\r
                @(posedge adc_clk) ;\r
-               adc_d = $random;\r
+               adc_d = adc_val;\r
+               adc_val = (adc_val *2) + 53;\r
        end\r
        endtask\r
 \r
@@ -87,19 +111,13 @@ module testbed_lo_read;
                // init inputs\r
                pck0 = 0;\r
                adc_d = 0;\r
-\r
-               // simulate 4 A/D cycles at 134Khz\r
-               lo_is_125khz=0;\r
-               for (i = 0 ;  i < 4 ;  i = i + 1) begin\r
-                       crank_dut;\r
-               end\r
+               lo_is_125khz = 1;\r
+               divisor=255;  //min 19, 95=125Khz, max 255\r
 \r
                // simulate 4 A/D cycles at 125Khz\r
-               lo_is_125khz=1;\r
-               for (i = 0 ;  i < 4 ;  i = i + 1) begin\r
+               for (i = 0 ;  i < 8 ;  i = i + 1) begin\r
                        crank_dut;\r
                end\r
                $finish;\r
        end\r
-       \r
 endmodule // main\r
index 6f3c03d80d13d3a5d0b9e808c513bfefe13e144d..540c15d1df9383c0123dd4f61d1c8ccc880999f7 100644 (file)
@@ -44,6 +44,8 @@ typedef struct {
 #define CMD_SIMULATE_TAG_125K                                          0x0207\r
 #define CMD_HID_DEMOD_FSK                                                      0x0208  // ## New command: demodulate HID tag ID\r
 #define CMD_HID_SIM_TAG                                                                0x0209  // ## New command: simulate HID tag by ID\r
+#define CMD_SET_LF_DIVISOR                                                     0x020A\r
+#define CMD_SWEEP_LF                                                           0x020B\r
 \r
 // For the 13.56 MHz tags\r
 #define CMD_ACQUIRE_RAW_ADC_SAMPLES_ISO_15693          0x0300\r
@@ -58,7 +60,6 @@ typedef struct {
 #define CMD_SNOOP_ISO_14443a                                           0x0383  // ## New snoop command\r
 #define CMD_SIMULATE_TAG_ISO_14443a                                    0x0384  // ## New command: Simulate tag 14443a\r
 #define CMD_READER_ISO_14443a                                          0x0385  // ## New command to act like a 14443a reader\r
-\r
 #define CMD_SIMULATE_MIFARE_CARD                                       0x0386\r
 \r
 // For measurements of the antenna tuning\r
@@ -67,5 +68,6 @@ typedef struct {
 \r
 // For direct FPGA control\r
 #define CMD_FPGA_MAJOR_MODE_OFF                                                0x0500  // ## FPGA Control\r
+#define CMD_TEST                                                                       0x0501\r
 \r
 #endif\r
diff --git a/tools/merge-srec.pl b/tools/merge-srec.pl
new file mode 100644 (file)
index 0000000..852a96b
--- /dev/null
@@ -0,0 +1,18 @@
+# merge the code that initially executes out of flash with the RAM image\r
+\r
+($flashFile, $ramFile) = @ARGV;\r
+\r
+open(FLASH, $flashFile) or die "$flashFile: $!\n";\r
+\r
+while(<FLASH>) {\r
+       print if /^S3/;\r
+}\r
+\r
+open(RAM, $ramFile) or die "$ramFile: $!\n";\r
+\r
+while(<RAM>) {\r
+       if(/^S3(..)(........)(.*)/) {\r
+               $addr = sprintf('%08X', hex($2) - 0x00200000 + 0x200);\r
+               print "S3$1$addr$3\n";\r
+       }\r
+}\r
diff --git a/tools/rbt2c.pl b/tools/rbt2c.pl
new file mode 100644 (file)
index 0000000..949c9ae
--- /dev/null
@@ -0,0 +1,39 @@
+#!/usr/bin/perl\r
+\r
+# This tool converts a Xilinx xxx.rbt FPGA bitstream to a table that will\r
+# compile as C source code. The output format is DWORDs, MSB first.\r
+\r
+print "// Generated by rbt2c.pl, do not edit!\n\n";\r
+\r
+for(1..7) {\r
+       chomp($_ = <>);\r
+       print "//// $_\n";\r
+}\r
+\r
+print <<EOT;\r
+\r
+#include <proxmark3.h>\r
+\r
+const DWORD FpgaImage[] = {\r
+EOT\r
+\r
+while(<>) {\r
+       chomp;\r
+       $v = 0;\r
+       for $b (split(//, $_)) {\r
+               $v <<= 1;\r
+               if($b eq '1') {\r
+                       $v |= 1;\r
+               } elsif($b ne '0') {\r
+                       die;\r
+               }\r
+       }\r
+       printf("\t0x%08x,\n", $v);\r
+}\r
+\r
+print <<EOT;\r
+};\r
+\r
+const DWORD FpgaImageLen = sizeof(FpgaImage) / sizeof(FpgaImage[0]);\r
+\r
+EOT\r
diff --git a/tools/srecswap.pl b/tools/srecswap.pl
new file mode 100644 (file)
index 0000000..24ef8e8
--- /dev/null
@@ -0,0 +1,35 @@
+#!/usr/bin/perl\r
+\r
+# endian-swap S records; we need this because the JTAG tools we're using\r
+# expect the memory image in byte-swapped format\r
+#\r
+# Jonathan Westhues, April 2004\r
+\r
+if(@ARGV == 0) {\r
+       die "usage: $0 file-to-endian-swap.s19 > out.s19\n";\r
+}\r
+\r
+while(<>) {\r
+       chomp;\r
+\r
+       if(/^S0/) {\r
+               next;\r
+       }\r
+       if(/^S7/) {\r
+               print "$_\n";\r
+               next;\r
+       }\r
+\r
+       if(not /^S3(..)(........)(.*)(..)$/) {\r
+               die "bad S record at line $.\n";\r
+       }\r
+\r
+       $data = $3;\r
+       $checksum = $4;\r
+\r
+       print "S3$1$2";\r
+       while($data =~ m#(..)(..)(..)(..)#g) {\r
+               print "$4$3$2$1";\r
+       }\r
+       print "$checksum\n";\r
+}\r
index 62614242cea759c1fe85482d0879656c04a29230..f947f45cfd1a470967e9ba5c35255ce0b1db85a9 100644 (file)
@@ -1598,6 +1598,7 @@ static void Cmdaskdemod(char *str) {
  * routine, feel free to improve...
  *
  * 1st argument: clock rate (as number of samples per clock rate)
+ *               Typical values can be 64, 32, 128...
  */
 static void Cmdmanchesterdemod(char *str) {
        int i;
@@ -1605,18 +1606,23 @@ static void Cmdmanchesterdemod(char *str) {
        int lastval;
        int lc = 0;
        int bitidx = 0;
-       int bitidx2;
+       int bit2idx = 0;
 
 
        sscanf(str, "%i", &clock);
 
        int tolerance = clock/4;
-       /* Holds the decoded bitstream. */
-       int BitStream[MAX_GRAPH_TRACE_LEN*2];
-       int BitStream2[MAX_GRAPH_TRACE_LEN];
+       /* Holds the decoded bitstream: each clock period contains 2 bits       */
+       /* later simplified to 1 bit after manchester decoding.                 */
+       /* Add 10 bits to allow for noisy / uncertain traces without aborting   */
+       /* int BitStream[GraphTraceLen*2/clock+10]; */
+
+       /* But it does not work if compiling on WIndows: therefore we just allocate a */
+       /* large array */
+       int BitStream[MAX_GRAPH_TRACE_LEN];
 
        /* Detect first transition */
-       /* Lo-Hi (arbitrary) */
+       /* Lo-Hi (arbitrary)       */
        for(i=1;i<GraphTraceLen;i++) {
                if (GraphBuffer[i-1]<GraphBuffer[i]) {
                lastval = i;
@@ -1626,18 +1632,24 @@ static void Cmdmanchesterdemod(char *str) {
        }
 
        /* Then detect duration between 2 successive transitions */
-       /* At this stage, GraphTrace is either 0 or 1 */
        for(bitidx = 1 ;i<GraphTraceLen;i++) {
                if (GraphBuffer[i-1] != GraphBuffer[i]) {
                        lc = i-lastval;
                        lastval = i;
+                       // Error check: if bitidx becomes too large, we do not
+                       // have a Manchester encoded bitstream or the clock is really
+                       // wrong!
+                       if (bitidx > (GraphTraceLen*2/clock+8) ) {
+                               PrintToScrollback("Error: the clock you gave is probably wrong, aborting.");
+                               return;
+                       }
                        // Then switch depending on lc length:
                        // Tolerance is 1/4 of clock rate (arbitrary)
-                       if ((lc-clock/2) < tolerance) {
-                               // Short pulse
+                       if (abs(lc-clock/2) < tolerance) {
+                               // Short pulse : either "1" or "0"
                                BitStream[bitidx++]=GraphBuffer[i-1];
-                       } else if ((lc-clock) < tolerance) {
-                               // Long pulse
+                       } else if (abs(lc-clock) < tolerance) {
+                               // Long pulse: either "11" or "00"
                                BitStream[bitidx++]=GraphBuffer[i-1];
                                BitStream[bitidx++]=GraphBuffer[i-1];
                        } else {
@@ -1649,39 +1661,41 @@ static void Cmdmanchesterdemod(char *str) {
        }
 
        // At this stage, we now have a bitstream of "01" ("1") or "10" ("0"), parse it into final decoded bitstream
-       for (bitidx2 = 0; bitidx2<bitidx; bitidx2 += 2) {
-               if ((BitStream[bitidx2] == 0) && (BitStream[bitidx2+1] == 1)) {
-                       BitStream2[bitidx2/2] = 1;
-               } else if ((BitStream[bitidx2] == 1) && (BitStream[bitidx2+1] == 0)) {
-                       BitStream2[bitidx2/2] = 0;
+       // Actually, we overwrite BitStream with the new decoded bitstream, we just need to be careful
+       // to stop output at the final bitidx2 value, not bitidx
+       for (i = 0; i < bitidx; i += 2) {
+               if ((BitStream[i] == 0) && (BitStream[i+1] == 1)) {
+                       BitStream[bit2idx++] = 1;
+               } else if ((BitStream[i] == 1) && (BitStream[i+1] == 0)) {
+                       BitStream[bit2idx++] = 0;
                } else {
                        // We cannot end up in this state, this means we are unsynchronized,
                        // move up 1 bit:
-                       bitidx2++;
+                       i++;
                        PrintToScrollback("Unsynchronized, resync...");
                        PrintToScrollback("(too many of those messages mean the stream is not Manchester encoded)");
                }
        }
        PrintToScrollback("Manchester decoded bitstream \n---------");
        // Now output the bitstream to the scrollback by line of 16 bits
-       for (i = 0; i<bitidx/2; i+=16) {
+       for (i = 0; i < (bit2idx-16); i+=16) {
                PrintToScrollback("%i %i %i %i %i %i %i %i %i %i %i %i %i %i %i %i",
-                       BitStream2[i],
-                       BitStream2[i+1],
-                       BitStream2[i+2],
-                       BitStream2[i+3],
-                       BitStream2[i+4],
-                       BitStream2[i+5],
-                       BitStream2[i+6],
-                       BitStream2[i+7],
-                       BitStream2[i+8],
-                       BitStream2[i+9],
-                       BitStream2[i+10],
-                       BitStream2[i+11],
-                       BitStream2[i+12],
-                       BitStream2[i+13],
-                       BitStream2[i+14],
-                       BitStream2[i+15]);
+                       BitStream[i],
+                       BitStream[i+1],
+                       BitStream[i+2],
+                       BitStream[i+3],
+                       BitStream[i+4],
+                       BitStream[i+5],
+                       BitStream[i+6],
+                       BitStream[i+7],
+                       BitStream[i+8],
+                       BitStream[i+9],
+                       BitStream[i+10],
+                       BitStream[i+11],
+                       BitStream[i+12],
+                       BitStream[i+13],
+                       BitStream[i+14],
+                       BitStream[i+15]);
        }
 }
 
@@ -1805,6 +1819,32 @@ static void CmdLcd(char *str)
 static void CmdTest(char *str)\r
 {\r
 }\r
+
+/*
+ * Sets the divisor for LF frequency clock: lets the user choose any LF frequency below
+ * 600kHz.
+ */
+static void CmdSetDivisor(char *str)\r
+{\r
+       UsbCommand c;\r
+       c.cmd = CMD_SET_LF_DIVISOR;\r
+       c.ext1 = atoi(str);\r
+       if (( c.ext1<0) || (c.ext1>255)) {\r
+                       PrintToScrollback("divisor must be between 19 and 255");\r
+       } else {\r
+                       SendCommand(&c, FALSE);\r
+                       PrintToScrollback("Divisor set, expected freq=%dHz", 12000000/(c.ext1+1));\r
+       }\r
+}\r
+\r
+static void CmdSweepLF(char *str)\r
+{\r
+       UsbCommand c;\r
+       c.cmd = CMD_SWEEP_LF;\r
+       SendCommand(&c, FALSE);\r
+}\r
+
+
 \r
 typedef void HandlerFunction(char *cmdline);\r
 \r
@@ -1863,6 +1903,8 @@ static struct {
        "lcdreset",                     CmdLcdReset,            "Hardware reset LCD",\r
        "lcd",                          CmdLcd,                         "Send command/data to LCD",\r
        "test",                         CmdTest,                        "Placeholder command for testing new code",\r
+       "setlfdivisor",         CmdSetDivisor,          "Drive LF antenna at 12Mhz/(divisor+1)",\r
+       "sweeplf",                      CmdSweepLF,                     "Sweep through LF freq range and store results in buffer",\r
        "quit",                         CmdQuit,                        "quit program"\r
 };\r
 \r
index e4e530b334043c4af025d391a82bb9ad097b94fc..05f05e1aa78fb24287b2fd40e60f0c4889b1130e 100644 (file)
@@ -64,6 +64,7 @@ void ExecCmd(char *cmd)
 \r
 }\r
 int CommandFinished;\r
+int offset = 64;\r
 \r
 static void ResizeCommandWindow(void)\r
 {\r
@@ -122,8 +123,8 @@ static void PaintGraph(HDC hdc)
 \r
        SelectObject(hdc, WhitePen);\r
 \r
-       MoveToEx(hdc, r.left + 40, r.top, NULL);\r
-       LineTo(hdc, r.left + 40, r.bottom);\r
+       MoveToEx(hdc, r.left + offset, r.top, NULL);\r
+       LineTo(hdc, r.left + offset, r.bottom);\r
 \r
        int zeroHeight = r.top + (r.bottom - r.top) / 2;\r
        SelectObject(hdc, GreyPen);\r
@@ -131,7 +132,7 @@ static void PaintGraph(HDC hdc)
        LineTo(hdc, r.right, zeroHeight);\r
 \r
        int startMax =\r
-               (GraphTraceLen - (int)((r.right - r.left - 40) / GraphPixelsPerPoint));\r
+               (GraphTraceLen - (int)((r.right - r.left - offset) / GraphPixelsPerPoint));\r
        if(startMax < 0) {\r
                startMax = 0;\r
        }\r
@@ -151,7 +152,7 @@ static void PaintGraph(HDC hdc)
                if(fabs((double)GraphBuffer[i]) > absYMax) {\r
                        absYMax = (int)fabs((double)GraphBuffer[i]);\r
                }\r
-               int x = 40 + (int)((i - GraphStart)*GraphPixelsPerPoint);\r
+               int x = offset + (int)((i - GraphStart)*GraphPixelsPerPoint);\r
                if(x > r.right) {\r
                        break;\r
                }\r
@@ -163,12 +164,15 @@ static void PaintGraph(HDC hdc)
        SetBkColor(hdc, RGB(0, 0, 0));\r
 \r
        // number of points that will be plotted\r
-       int span = (int)((r.right - r.left) / GraphPixelsPerPoint);\r
-       // one label every 100 pixels, let us say\r
-       int labels = (r.right - r.left - 40) / 100;\r
+       double span = (int)((r.right - r.left) / GraphPixelsPerPoint);\r
+\r
+       // one label every offset pixels, let us say\r
+       int labels = (r.right - r.left - offset) / offset;\r
        if(labels <= 0) labels = 1;\r
-       int pointsPerLabel = span / labels;\r
+       // round to nearest power of 2\r
+       int pointsPerLabel = (int)(log(span / labels)/log(2.0));\r
        if(pointsPerLabel <= 0) pointsPerLabel = 1;\r
+       pointsPerLabel = (int)pow(2.0,pointsPerLabel);\r
 \r
        int yMin = INT_MAX;\r
        int yMax = INT_MIN;\r
@@ -179,7 +183,7 @@ static void PaintGraph(HDC hdc)
                if(i >= GraphTraceLen) {\r
                        break;\r
                }\r
-               int x = 40 + (int)((i - GraphStart)*GraphPixelsPerPoint);\r
+               int x = offset + (int)((i - GraphStart)*GraphPixelsPerPoint);\r
                if(x > r.right + GraphPixelsPerPoint) {\r
                        break;\r
                }\r
@@ -212,8 +216,8 @@ static void PaintGraph(HDC hdc)
 \r
                if(((i - GraphStart) % pointsPerLabel == 0) && i != GraphStart) {\r
                        SelectObject(hdc, WhitePen);\r
-                       MoveToEx(hdc, x, zeroHeight - 3, NULL);\r
-                       LineTo(hdc, x, zeroHeight + 3);\r
+                       MoveToEx(hdc, x, zeroHeight - 8, NULL);\r
+                       LineTo(hdc, x, zeroHeight + 8);\r
 \r
                        char str[100];\r
                        sprintf(str, "+%d", (i - GraphStart));\r
@@ -244,9 +248,9 @@ static void PaintGraph(HDC hdc)
        }\r
 \r
        char str[100];\r
-       sprintf(str, "@%d   max=%d min=%d mean=%d n=%d/%d    dt=%d [%.3f]",\r
+       sprintf(str, "@%d   max=%d min=%d mean=%d n=%d/%d    dt=%d [%.3f] zoom=%.3f",\r
                GraphStart, yMax, yMin, yMean, n, GraphTraceLen,\r
-               CursorBPos - CursorAPos, (CursorBPos - CursorAPos)/CursorScaleFactor);\r
+               CursorBPos - CursorAPos, (CursorBPos - CursorAPos)/CursorScaleFactor, GraphPixelsPerPoint);\r
        TextOut(hdc, 50, r.bottom - 20, str, strlen(str));\r
 }\r
 \r
@@ -277,28 +281,28 @@ static LRESULT CALLBACK
                case WM_KEYDOWN:\r
                        switch(wParam) {\r
                                case VK_DOWN:\r
-                                       if(GraphPixelsPerPoint <= 50) {\r
+                                       if(GraphPixelsPerPoint <= 8) {\r
                                                GraphPixelsPerPoint *= 2;\r
                                        }\r
                                        break;\r
 \r
                                case VK_UP:\r
-                                       if(GraphPixelsPerPoint >= 0.02) {\r
+                                       if(GraphPixelsPerPoint >= 0.01) {\r
                                                GraphPixelsPerPoint /= 2;\r
                                        }\r
                                        break;\r
 \r
                                case VK_RIGHT:\r
-                                       if(GraphPixelsPerPoint < 20) {\r
-                                               GraphStart += (int)(20 / GraphPixelsPerPoint);\r
+                                       if(GraphPixelsPerPoint < 16) {\r
+                                               GraphStart += (int)(16 / GraphPixelsPerPoint);\r
                                        } else {\r
                                                GraphStart++;\r
                                        }\r
                                        break;\r
 \r
                                case VK_LEFT:\r
-                                       if(GraphPixelsPerPoint < 20) {\r
-                                               GraphStart -= (int)(20 / GraphPixelsPerPoint);\r
+                                       if(GraphPixelsPerPoint < 16) {\r
+                                               GraphStart -= (int)(16 / GraphPixelsPerPoint);\r
                                        } else {\r
                                                GraphStart--;\r
                                        }\r
@@ -314,7 +318,7 @@ nopaint:
                case WM_LBUTTONDOWN:\r
                case WM_RBUTTONDOWN: {\r
                        int x = LOWORD(lParam);\r
-                       x -= 40;\r
+                       x -= offset;\r
                        x = (int)(x / GraphPixelsPerPoint);\r
                        x += GraphStart;\r
                        if(msg == WM_LBUTTONDOWN) {\r
Impressum, Datenschutz