From 552cbc58901af35b8aeac546374fa7f67d42c66f Mon Sep 17 00:00:00 2001 From: "micki.held@gmx.de" Date: Tue, 25 Feb 2014 06:49:49 +0000 Subject: [PATCH] iso14444a: minor FPGA bugfix --- fpga/fpga.bit | Bin 42172 -> 42172 bytes fpga/hi_iso14443a.v | 4 +--- 2 files changed, 1 insertion(+), 3 deletions(-) diff --git a/fpga/fpga.bit b/fpga/fpga.bit index 55dbfb8c361b8fcb54e3cdc3991e71cd88d0ff0d..f494783311398ea66fd32529687f55b09e320fb9 100644 GIT binary patch literal 42172 zcma&P4RjROl`gvbR7oy%wbX?Weu{rcsU?#Jx1>hG7-LyF2u*IsFoTkpH_vY-YgXGP z86}JO%ATybB$GEeY6;UIY}$k*iiek5$c(KxOr{Z-KNDOC*=axqh4IWNj>jnz8Ox5s zv~A)@AmDwcO6qR1)}3{kwI;`rAJ9`h%Q}LA8n{_xQEtLN%Y>8%NkeSb02+~Xiw)2 z{CV+*|JPTdBz#14OVk&a|EooPrjJBRZIo>J-!%L4k|^PM{=a=u;?zzxk5aiIAOA1@ zsKWih|3)8^{V!hOKIYzg{>wh(^(p_a-lKYb=KpW>`LEyOzTnq}FHSMKm5Kx!w^1?q zlGIA`MUmrssHMm4V;9ZPjA(I!6UyHjzuwv61hU;t>aW?-?6z-pN2ybG62^b>BcjaF z2iOIA`K~(KKf``zZq}+Z;VEXSQQ0S1G-9M#z}8LHLp#i{twr%)-!;t9aFm67?tAP7 zd+D%RXY2jUF<&OUCvEICdMRWl53{Hmm+zqk8)z!N&<^fUYUr2dO1mblMCe!4UeIjD(VKz+9$uURS zUiyiO39i_>!rgM%c?;>KRIQ`Op8PjDB);zGE7_0e2cmjBwvolW_r$aSrKt(uM;WHy z;5}tgeH$7p@S&7)CVv(2J25YIuN@rjy3D_W2|o2n_qX{CH0ra;D2vNJ14Wbcq1|8y zpI63>Q|3x1a8Qq^XV~)L(u4XEZ@psk{y%Y`+-G-l_7-h2>g{l+e_PvgomC^TClck} zced$AbWGgktnNtso4H9WcC-~`e`RmB?sD||7>r5&u2{tgrTHFPXY3qx*%3P#Wh3l4 zs{VbK(= zq*9-99wv-GnlVmSshNLzr!pQsqc!s~Dy33WXk;dT7>!&r-7QXSV* zw_%fz$+Z+S$&l}<^wp^7vr12~aoR$Up$}8($Fzj|`&hBJ7FwIC?xPJ{H_+!9+R(0- zGu3`3{zy!_&Ck3wJzV+-M%YX`#!MMB$`Xp%KVhz@C=*JZ(k_2j-<|K$G{%fQ_6B`3 zTo&K{O!c^!j<>80o=`3pyL+{=Xx!~nmQv7A8)RoW=7YR+R4Mk>YlY#^VXC7t!DJ(3 z>f#ZTl0K6(!JhW!t`!Z_?3jmr`^b6_gIC!zRKrW=G3MD<<$^uKuh=Xj*HkEe&%VNHSlgPa6S~DdpraHLn#CsQP1%V1{oY!HVPE~D5nI>T z5WP&M4f`6QZdzi8o0uOi9Dht-89hn0w%*2mL5IwTon$9A_76f;c5)dD&%Q@nNEgKe zutDso8`gej;YQ`Uc`ke3cwnP`w`X74oqM$_kH9DNEAN-|@dQn@7+XDvrzF z#fz@fwev0GWh2T;{s+sBJ^RUHa<$F?-jbs);rl9yxXX;wN8x2l)ozu``SklyO-D&+RyM2q;Gi+ z{!m-NlHR%xz-L}DFw|ss6mjER*&!)!Ta7 zFMTh@bIfmYL%v58ZJ?vRkgdzT`Z8N&uSv5AeE1T(rA_Zup#!-2F%MJ zpzq2jQS4Ru8lsX77-7Q+*S@Z*%jf2Gzg?PE$g?l&&KdfDG1O8H-|~v<=am`lJFLYn z%PJGao$@ty(RKE^XdEv6h+U`GsnHH(SL6GL#!O&?p2M$6vBeC%svSsNq92Qpqa7=+ zr=N)GDec8PeodIYnwcqS42@71hN>-N0p8tX{z~i5~2IeeA*32!ISv9L&^|^OE>= zmAP9KHdq6PpH?Q>DFZlY03fnV5BBm5&R zY6qjwjffL?VZbcJuNxG6EtowyGkl%uhl3w>&(LLhMb2x~voDEXSJh?*t9*&hP_wh` zuu`U-poYv-19|+~MTcPTu#~rGGi=BIt@4Fp6D>Qh#eq9<`F((2(R)Q?qU2lbZF({h z0e-QC{3%g2QPPpeuXD5?*o{zRgc2~l2cxY402yr1%;VQ#eno2*rIs?m&WdJ%&l_fE ztp-u*C@YIh`MZE$#w={1!Rmlt`a5uXO=uM2m-LX^`=p<@nja3Ps?q3c;AJUg$n%FT zei5*#ulyoEVgtW+vT-2M66v3vS^O%hA%r4a-vMkoqSbv)PbDszM<~SoDfZf&eHGDC z9%U#>wdU2P8+G&1PVLqlt3e)IH@TL-C99I83{|CGiQPs4eyQ%M-R{gtn+|pW<2kS}-1; zHeAfzqvAVrHKo_EQ?Ujf$>W#r`B~UfCXH#WX($*~hTSLIQ%V7TNwf-zYLliI@LdKc zF3{)kt0+ucDI|2KJWR)_t{<-8N=<_5B#`Cti*-}j)_(wZv7bjqbuBRj%#GMfqI39V z`4)=JqAH`ex84EZh;-@g_)VLAk>S|mbNDr&HPbogJ}04E$;3){5l5H1Lnrrm*@cd`Y?V}N!yJo zTgzfJrW}u1F@m|fu(cKrKVp|eSrYgK`${v-TlawlyMR{kY$;^}O(&Y|fPg)T&eE^y3{R;5w9NldPkLk_QRb~T;>WuXBb|71TUl>onjqp1{ zN9@RGsl|TFBsPW9EQena>h*>OzCA}zuCe>=U}qk`J|bYjiO87p z_w;&COT2VU`F3)~Y|I2Fl^lM7c8U5i47HB7h`P9zWD&kE6Z$+DS&o0%v|o*URx3}e zg?q1~5(z;24RaWbEXThrP>|X>px{PK(tUCo(i-84>o?3>2A7bv9mng)qv(71MZgpY(BsGi$ zciv=_`r(~WAk=W57ly*%pLTPRQ0O<4R)Pvr`_BiXXoee>sj%n0BlVqnoT%| z4*g3QGa8Q8!Lpux#VTwP@rWI6_LtFfLSi?Y^mW@N`AxjX^{e1t#%sLU3anSc+G%TW z0H1e)&RUHF0Y|yw{Vw2_A84i43k9&no9Vy7*U^;O?E5495)B>jqU&Y25)1CE9>=`W zZP?g3{&D8x%supKu(tSkb4RfO8Ll>f`d7Gn{=T@o?G z8?Es3bNowHvC850@J^<}Ro2+L!G>GA!sde|MxKAgC9NqhyjY4~|Ga`-iGkBR*p!(PQl=nA!YB($|I zjy`kzYXLZ8#&s7p>NE`kbLWq;>jHUD!QMZrg}?zn5__hPIXC`%mj#7b+Qn@xY@-c>9E1d zM8b?Xdc}?rW53TFMbtFQzbs!lZPscNn!|3R?{R=aJ9~@v_h7I7O&-6>=~dBUMIKUa zL*oG__)X<)`h(TzM5gliW%a|)3wV+YCfK|c)45K6*A72lfM3!dHd=vP9DbeV`$Y}c!hRrsZbC5MG-Jxk46Z|QB-ET$n7XV~A{JIQ!(qIHW>W7pH-d z#Wc{ws^RPU`}7_*Dg9wePb={`{$=uB5hBehucj^j5b68i6VNA$F~>b$r@_5GM`1#g zOAIoC00fNSJr==%wEE=sAwqn3N^KBQ+IrO={lxUzf}1)O(Qn`=RS53b4QSD z=vmLcB>y^14e3CSzJWe88!Ae_uc&m=XlMh19BcDWXqpdEN$Im|8_;9nCr@h`|VK&uUOMl?8q z0mNfx7dzL?&01_ee~s2`fH zY-qD71j=Q$;+WZ369xHA{7W>n26pNf!MmF~XZ?IbN8p(}eyyi{9J^(QzlOHhb)EY6 z*sy;~JQUaZlz0Jt86g=Bjwhee>f3LB1NilnSssM?g#mg9+X8V(G9 z!bM@=S9Ln<_?HNmhylOE(-a!e2H0uO*Gc`bJ7czY)SUKF7e_2X>?|dCOAG zdI|9{aCxWiU1PB*`v!Z9ZN_U5HU z=i);G{~Ck$t4k>zpcQUlKMDRdXv06#9DdDcmz5SOb@UnI4-&|-k0RTJ*bn+f4!^F` zjA#@A8)yaC`dk2su)tZ-LYP<9i|1`)65|P_w5Nc}$V`>h+HW*io##H1_ zlqU8X2aKkb4g8F+X#kDUIs7_C*9BNfQlG(EG*LYWW(ZMkPcVxy$K^Nd1b$tihE%Xe z3DD^{-1`Ce`K!Evx$iM$pG=X|4-xJrSfppG8L~=K@XvTMfbq=ouMF(#2pF^;En^1w z7sroE!5OhSQ5b`3U&6PLj*G=oKZJdWs(1;AnE8q@9c?3gAD6$YLkp<;_+cAT46#!b z9l|iAq8M%47R~doWAq2Q7qX!;O4p1QCz!3C!IPF@%xl!MFR33+hruh;ayk;oWaDFJ z2M?x|3*LHVpwAy9aKwO^?Yiz^)Qs#UZb-BLenF29+7K@R1CXAx$cvX62&*c!R9JEU*%_L$TUx2Sczw2v)P zw+PoQ-(?^10mxFUKtJ5#1IHg_%fwT3w_GoXX}-F4^eNB}5%cqsc2;4)F>=H$lGH?G zQfbI1r%qBogc=r&B=r!>i2Kb5qDU!}m0-*{{cxO3o6Ub2gcpO80fl);xlGebZsuR( z((ODE>{FH#g!(vmCG^AAmQ|SGYuj@h*@H~&R4?G=Ka;5OJI?Z>V78koChU)BPQCoXBAUIRQG zjO&K3R-96sJ0lQqJp1Z!`PXso%7!1Z<`|OEk>fPEp$FhNg?P!a^{O7f^$EkMKy@r&RtqOE7uZb+Ra(a=e3+W0=~u{?fB z&+llR>_2ETuX4hjY>Wi(7J+Ypd*4El zF~FA84I6;D3!ewV~AIWM=58$P9#2@d_x z1gXj6m(SH9KU8J}XjeRtt!_fh-(mvD>^9ej3-#ODc0$_gFeJg3hePoI=p?;Dbta6+ z$>SG1zYVrENk>GeO-qNCz&X@uvQHkr;Q76I0TiSSYFN_8mtY+xbye@mom>e^QKg{9R+Xncuwyi^H#*ZL}j-fFk z8XYj`oPLPun4#M=tF;b7;u1S4B<_$K?3+9Y$N%So?Z6^SPL;24+MH6aNP}^k+f0=E_~Dp991ZXU)qh4 zX8P~;{24jrHcaO_o2h{3FR0%bpffi7VM2)*SB;hJc+Vtr}}dEc01bFuy9;1S4=BH)YSCFn5nM?Y%Tjd1i(oemGMvd|IG2P19ElR^b^Xl zwKt4j9=xo~(El)iUmxZ0Yr!QMeZ|3UBI;EYfBmp8ATY1r=a=y^CaA!WLDX z7|5>v65ovcG7LYT|Dyj1gyj=#p?XNfEdbfyn}>kH{*A2Dv#*#s!oJJQtXA3TuBoNn zGxQjxjITMjy-@xIZ`~&r9HzIa@krzYJ&b^1UplZC0o8S}a-eKd`Ifg{vVLR2K3*wv zNd*0{cpZEl3yVcmB9tB8?~?T!@bhuF%0(*pGRHQS28#*q%<(S*|FT2vy%ow|(~*pe zU+?<1@KAeTCo_G9{Lau1eMihiZAgvPST12nh2Ax~+WOmlJM;WYr6a91?da16*jgl| z#bN4#p@k)vk*{&_3w0?LyfHMrfvDD?c?-5A&f^+jhJp*z6z^z z##^s2_=hapSewwzxNNxJC&SUObNZor8$Yf-Ck8IC`{|$+6693Bh?z2>S;~X*H{o}w zrAFf;=2XdEe0b=h4rH0L%6EHI2V_52zws%0iC6WPWXmg1yHYi(J&54y_E&C%zW@($)z#!;XGFKX_P6U^fm8=;og z;E2*dXQ}a>Pdm3vA@~P#8O`x8>Y(I$M1$eyKATL~p?I$a%so!k!rz|fU+aBIq>vB| zn!Hc+#}JB)OYw?Bos0XpoRpDNdyNVE+DHA|Z~0fNvI{z`$8-?W3Z;g< zNrPOsTHzezj!Hp4bZuGIZyZxw2vX-|x+sCnfi`%VpdXIvKQ8K&ud$1y-dpb=x{!s4 zjb`K{0Q5N%@pF!U3B-pli#i9m49umFNbY2lyqjABPn-Kkhu!G&%%6|&USo*>nrA)y;tMVqST7r~1kzsi%AUZUlfO|j_DX># zIsP>$TwKm#nULVKf);WU-$>po3h~RWp_1=`6inb>X{A;@)9yA#Jv+@5Rn3iOhM=>F z4C-wd)Ljd}I?KPDqR%CB^MV5rVPafOaoSdr<6q{g+W=d&l7GET;9r*ZIrfG2ooIlF z&GN69rh4@oQDp=^0rJ{B-eZm?G+muU}I$mAx64HodPj=3>k=y!>Rru0)r z3{qX5f0cp@Q}ZgYl8f~Ft_L6_D&L`NHt-8iie3A1>krxAb3A#^I>`ZB5;#r)(E0cCsSiS6!97h`7H(f z3;5-mUDFI-P5}W2J3<7oXc>QeuL9y@LH2 zB}6C-zmel#2Es6RKfguWscNX?8|+Tw$+m}Y;$OGYA3&For8-Z;;vNWqC_^|W_Qmle zryp9DXFInz41*VC*tF_Z`g?-yWs z$m7r1`^)y=L}XBLGb6UNch6@cF39(}TGDK5I#8Iwqk-4NsX|O9~ zWEF?EndM(yDi=TT{BsuI3!3csSKxz(YT%!9{L7>q|AJHnLo2T#I+n6%06BzRx|GG0f=MUwWe@4*ovryx2&VOa& z*Avw|m{IP)dbPx{OshhH_x z?sy$f?q;u;zfuu+4ETLKnSs-*Oyv02Dwq|trW)qTp>eE583T5w!VxTPyNm%$AM(rg z*R7HX_BQ{41hW5N3pr{bEYw2e`4=w(0xyO0sI=_6}g~4-)mzexM)9`iJQJxU*_gGrVQW0BIEEa@;y+$ z<$I#?J(7Q2HwHzp1NikOwR|t|TRqH%XfRb~>G}MZ2K+M5b-|h>kZl)=O(0_IuEP8m zp9X9}XDdQt7wZm(PpB7-Aq&Ii@awMy$`VF#-r+6=QrzAv^PXF*P`kb>6edHC$F1Ms z=(CU2m|LjKM(1(%6jitPz5#&dvX7<~k)$yA5wtjpbYg;USTg^me_?Bmf64m!L35qZ zLvw+mBQhrZ^N_Mgao4@?&OadLZ~jGaRC!mMR$)7%t0jv(CI_FxFE?+_*N#>nGy!() zFso0*>jNzvfj0~Imj?GvVXuCpE?g>$lanY!4EOkpy}3*L+Cl>oiF#k651lU$Q(5LLdP@CJ!QlA-z-@t)|l#7IRAK9n6o z{a(|_UUT;|Ha7G_Dz&xFM2GjD0r0OIJ@;5ZtGDUru)&}#r2m6xav~d*B}^_m_?J6n z=^@?28l&);6LLceD5W|4`h?E)G>!+psV~>giI!~eb9w_D<5CAg;+%ds?|V3w0&7~& zDlne=Y`q;D0v5-$FX!{csPR;0>dJW8E-w>F}e93C9RsqA8DG7tEI0A78UGQ72v&sFpvL z=U-DW>lj$cC3;iT9YFdOiejQJ1|u>(`$`s_5M9xT3bk+qTsX2yBj{|% zp0sKWdHfQvCa9ok#ADBjIv!5Cgs#qkedX~>WFA79aT?~WUKmRN!qSQfjww0(+D+4@n-;tzPMJ+@NQ7N7uZreYe4iYCML@_gonwgf zen}w3$;9snr-#yr-gEf%r}06GSji^D>Iojnpq6GW?bqNO2J`r}Fm_Nxtgz%?-xsx( zz5xwnm*V#K9zX(A)~i>eklu6|YmR^QNHcFmG@2Yc6I>^ksEJ}J&3?7$y+{;S(YNplpl=;jd{_$76=h^0T1=U*?f(?iXNfv0_t zYg$r)-zgI!K`n=a0|ognntG(E67B*nE{a$JPLIKF+?4;Cw64n24bLj${1i2P7C!T$ z0CDEQ!2@ z`98yJ)8^qvm=5>oJsfmW80acOwtJy4d2k(Q$6`cRNB&Pe3p?em4 z6=7j}>8SDs9R4eaqw@GA(LvHl$&y@yNs|E6^WI3{K9zbhIk}3|JCFv zgnSWbgM=a|9x1@Dz3^ zQMFpn-pW2k>B*NZ%2DGx)lc{}@f4$94ilq|B-b5jNixr6F@oTrZ5^wGc zejiBmcUTK7r4$mIMZJ;7uPfT$TP+_V|MjMERy3Nx!3Kh&=oIoVob76@eG>LH#$S+u z;^XX2{*qBE0IWIw^$Zizxd^#C_j?9O2 z6%uz^MAG`aJbvvpky;g4iwWu#4P4)**O*hu<`wG>=kW_@rJ)3L5A5ses^cQKuN!$i z1Si|mz8rpK5oZFePQkZGBDO9If`Coiifnt1e?4Lzb8RfIy0PRXsf zYhR)$A|Sy%q+5v(Wa$D&iENFAKK*(+k>g(|+rUzmn9OFtFM+?Vxj`44=a5fM-K{^I zVz6$3F@s;&+mXiy7lt20aI3V-E)u_H*r&a%g))HIJqFv^KAOj`>vS2g70e=Tfk0|S zMo~x)QBJN`b`HNT(P4z>y&ogoO^()CDBF;UFfcOchZL2+3+I=y>mtLqM-#&&qz$Iv z=Ldzv+?;+m59gN!=o@l>Y^$hCR31hm?5M=|0{w83usZg-v@%H<3xxq!6r5!UmJ9D6n6N&{Y9<+KCS(<1x%DhhMu$mi1~4i96^ZWW$&Qvi*qt zU~xJ9@JEE2|B2YQKKmouFCMl0Q|xUd!oDoWoX0O?5U`a@gTM@G7V?`6ag^92=U$*6 zUWXL3*3y4S*K3xFy05^{t~<-6KvAe4#+#{L_#PI3EgS*v29Rkh3466rKjdK0DP@s> zpO2Ty0)r2!TxwQ1{cycp9jOi8B|t0U*kd3XT#6XjhjaJ^>QUDQZdC)qQWq<6`y{_6 z`@H6@siw~Ahj;kb%cDk;)W|1c4o@;`U$TA!p3Mv<=OgdXg9C0!#iS|gs2p>?egl>Q zFR@EG#;&zKz=Llns5UHL&M}J|{~AXPaO0}L8_+jo*?irzy2}DMVv?KAe?f)V%1i^a zi~N#^!6zUirrlJ3X!4j*Tm#IlTjk$b-lL)BM29vcr2SH5B^NAuuxFSMK~5Fph9+`3uF%=m(%?;jHX~C;m7_W6JU1 z{1@%xHMVX($WS(4!z%BS_C>HS)315FK%QTo_PHah3H@pma?O1({3%*)mqBlt(+?-< zNPLBT%O2%j`mNF216Lq@!b&->9DX4ZHXEHl9LEpOfYb!C`Z4rrv=RL0@N0vL*w5C6 zTZ;+!l@6!jd-u~48+vaZzp$fFDj?V**~cnLw@xAp^A)#`x2CfG@CewJMyy7W{7Xyw zvC7hC7U0(gnx;qW04X&npLU7HUW~_`X%4^S>DLx6y&nt>_$B?}4Z2^BIaj}N4RE~F zUYn^drxAg2lquyS{_{j*=eFGWFWayM;zJW#>!-{;h9(nXNfU`M)J%HcS=JwRYe)}8 znN|+`B4B|{3C)aYEXThV2uc=*xYpCUN0u+11QDA|A~zw>nB`wI?*@&i$PVmLP?pf% zoQ)jl9%iR_LwH+iZvQx_14RTY-~psA+7iXQt{B%}edS%uYqpND&G;v1WDP#(BsJ_z zC(bJF!-pC(p?Ku*Ys?oy4UB1*4pe`YC+&#Yk!Fjj*AD$2N@)(iR@sPq$CBS?s@6Re z$;MWMH1RB7Wa~eMpLhRceG7d(sVcLiqxCOZdqi!Gw(&1+rA^7*rv6ZIMs@qdG=gjx z?FxkE2iVE>rq==uN|^tGmL*V?ciyuv+`qxUUGYlW+`KA)O_wD%NAdYC&omB`;zsCPz!}-x9+6PYVC>2IBuA6^$nc=qPQH8K(M=W zYgGuetDJg7y&2nBjzYv_m4ifB#J)Hm%`vvfe3<&k4`PiG@y36}F z-h8sbiVSq$El!_qPDU(cv3f;>QjvdBuFSp%I5b`&!>8&G*-X5pGN|cUbB11F2-?qk z^U{p>jA<6-k!P#nS1kb9GxP7#X3rcAd3^){zkDH{+*SQ21pmAdAa$2SG+Bw^$XQAK zFyi|eRj<<8*)sN&uU-~2+yn1d->Q!$a`<&e`%Ckn_WVEWi)>SQZJR#I7SKz)wq5^X z9=}}vwPbIP5~j?m7MZFuWfi3lW4?5Y8z1(SUKB^3KW>A64YTPzO&#k1TR?{<$-gcY zcgpef6Gst zS|fnDQTZO!9}?29wpLlLmb)nua7NlE%sBAty!V}D{oxi0@si5&8qwwJ2V}#yxQacn z+xv#H{_uTSJ(BVjyTow2drq7NImSHUy{E&s4J&q>Z%^ry>a+@;0{p^3W;C8v-t^uR zHu^#T8_bC4zJPt$U~Wf4Dnc~{{L8n5p2j|WYCM7ZL#h_~C4_uW^J;-}{oa-b|N1l9 z0ULz7fCF(%M_<9tvfj^|C&EqW<9=t$cPD$2s=7+rk#E`**gb@U%)hGGtU#aNn9w|* z3H}B8zt0JD%zvAm6H6Tw)m*83RqVGQDEW)!Cj@?3Jy(n-u}(lq9bB<{IQjX+TWXJi>3q3-EPQB1 z)sX(>#0TE@(Firbtjg9N4$aVyAS7xJ7r(_3O!gp#oaJBfiW4+wns0}Yv=96O z_2Eq4*7_c$d4C)XI)`6%w3QZd?EoNPs}VAB1o%Z6lkC2|51ZCqFX)FX0j(fR?2->c zq5y2k6tWrrOT>qA#29nw2IDka&I1Bt#khDB#(R&vf8#eslfBNkMFX%#`JbA) zM#zZSk%j{Nl3~U$$~LBA-!W=q_3wj-xle#!#c}x!CI14;b})+o*8K@PV68S$@iHi% z6!5P@-U#o&F`4*@n28PX3c;E>*+(ju?~(UM$@&fL+n|a)T~$u9gU!biQ;ym3?9{EF zXYRF80q6kuk;MqHmg{W__?J{*A_F+~g|ycI#KjfvK8#qa3;7o{OXlL3fB=|`f_$Zy z{h#%{vi|UW#Kt(mSKKyxY`KQqj96-Zo`2!AvSbtG5aGK*@nk9l#xWdPCHa?6PRq@I z{aE%v{X9Jtujbk)7I&7TlBNx)HO z6w^Q<;!XSuRSn=@!ClBZe7H(BAS6z+I(Ys<{)IjdmyLDb8b&?a*8;odFEd`Z8XiHs zaWRKqIRAx^@9Ea6iX;meD9{Cv@qPnQ?riGb+>*V-?u?KJEI|3XL{ zOsyVvf$SXcOU#~Ve$(@r^8Tm{HBqUk20w-WiBNSFLw*nxs*r!Va;U{tilfy}Jb6TaAb2Tbp)*v6qsJi$IJsGo0P zKNn3->6E@u{kb^otX-ksMk5l@3hFoh$#NAcTxG!AP(Q6?$3g*VE+z>gF|ETTz}Q#D`Mfn2ir*{k)Xdf@xi)Gh(?NxT4&RUFYg8 zm-76}omUF{>*Lr;DY;Jwte~7%o`12~`i&-f-Nr$Kr~*5+8&AMzzT^3DdHxIj(AGy= z>($2#pb2MUX%@zqL-v|-n(#(g+l1btFe|aZuJD!uv_DJ_h&Y60s@zPnihI=J{7vAYVxV zTVDqK5X+rWzCdrJmYStmCF-r0pm#84u&rch5xpoduNBY_@x;N&pj`gz6ozW~G_zdQ zgg||7ipA+@O-PjF>*sM+NWLd*;YiMa5#kS;k3`fh8W`EZJpX!6oRR!%bp8s4dl)jH z$s3@v;eg4peE#d8<=%E^+&)I1V1lI}VkYjXuS=zo z3k@TOU!r$3^sm}J<*V&)K4ivmz>QG}1`qsd@Ycd9;uv#W)qJhP9P{cSjjgKaat3%9 zXRgWp&hdH7w^_t@hhyxs#xq@2>B?z;Gk-c+ZB=f>3UcXJW2DiUF!Iu&`NQl4MJZr> zm$Q?;6?PS%08NmwwJq7g}=4NqMT-%77=r)W0ZsH=2kqtOPPs84yEw5_r8k zU%!EqQ!ACAn4hfyei#Sfn9Ywiqq2b56PE%N!V^|Xb% zxvd)UODhu7WJ&xM8|#(I<-dIJ*#5YLtz{MRu-M{Jww#_y-hBchVey=OsjXec!)^F1 z74!@tPc+7w*i)^Ka!t+WzgAnQ2ETvp*6%i0nE{#BQQ)Y3_sbK_x%&Bw$?5(woKRZ> z+0fU-kwzItF6sCxR4LEuhkZrJHyI7_{Yguqp&Tf9}W?!<(n%Z z8?q$TLpE%UQj$VGtswt}YbvRVFZp8Vdz#FD`A69SfYjHx-*|9NKb*HGxk;(!1EbKa zo}yq}A8lBMK1$RM*OQ-LC3f{RZ$$#dON`ahe{I zK-N3Xrc(!btua5RAEs%<*FtNDs-u|^-lA=@!B26%TYjjy{FiS@QsP%&Z~2mnH?2Cr z7KOu4#!NJ@>s-4C7d=MZ>INqqW$R?FWORw@_la&&C6LY5&s#o&aGwk-X=9vrQoZSS zSQ9--pRu(k;5^;;$oh@ffL|zQ`aRJs>+>bh z2A^Pe3_T6~(BD~DKYx&F+rq0@0H$|05C2PXlkZ9Mx5Fi!7>y~%6R*fXwT=ji78C70 z5rL~r1cZo%hj3e+=3&afydTRL$--9+4xQ#Jc%VWLFx=c_mv&aq>W3+MkFNNd+dq8( zSD;Mua#449^(A9UEOP47-Lv>5j45*@(Ey&Z8uxE#jXi5emFwaxwA*!Ao!X*uJe$;S z&~|!`Kd_ud)I>ZK*V5&+V#sL#!shEYh`LOZ0k#lg(IeEC+8R-}3W;&BK`!4T@eBOR zhJGl4EM!-vS%d^x_CMt6H-vk>vVq`ffnTh_#&v2D1U10r&ef#k@k@oT34Tn%oE8dE-jfP7yZKf0F?D2m7!)VWSM9${ST*`8{++})@2YxhIs z&_7{;I68ansnrp4X{MR4kt{cTY6#!_${k_*)uUQXJ zy}bW0F2{`fH`Ft}<`aRG^8PBo`hCbZMZ_-=QAY;mv^aTx?{-+hL#gr!`gjxD z`0zH|zfo&yEB(vOr$n_0{*|4>uMtVRY-E$Qs%{ZE50_GA_WHsp1TY2s3%gFBTI2r2 zS*Jw;*0s7oAO^S|HAz?^8Sq=t$wF`YUns>t-EvhHBPw2Q7Tk5G;Kp5y=VU{|Vfiq76GGYAg8bKeY?_01 zwIj^9=4;{luK6gW7cHIjH}Nl*-Ha*~ZXYC-YM>{$5y)$veYyG}g$QV6k|biNVbE0I z7a6_AJ}FQrrXlssvsDziLt%XA|CgBz~c618fV6^zY&U6SQj~{1~3Z^7*fSlG+8( zN|9xUO%!~I#`~w~csurCj(^=C$ZN6=1b@A&(R_LaMC>(O#Ibft$>GBCQ;@*!+)O*}@or;E!dDZ(dW2VsNmntJ@pWsxR;EONrV2yut9*DlHF z8&oR!mqf<$Fk&`be42$Gm0^hIt$h841k1IA+Vq0Us@6TGir;JtqDk|b#CUz90Gh<5+plz)6GRVkt9E_}Z&c0OK1a7tgmy27w zagkrFo&BC|;#KY17xVn<(f$nT4}(wlR$FLLKqxlZ*cJi1I?ulZGISlmHRA~+f4c+>UuwZc}2pMNl zcz=|HTHsePz*mZdOwQdTH0Jo%g1!8R8bSSGLZx0G`lJ{btBiyJWZqg>3oybSlpUsY zeCK$R{Je-9nEcM&IsWxi^=cdH4|ge$4Y?ajfQZp?0;1*k*X~4SEkcnZ#WXXx4r05z zxDwIw{Hthjcx$XKxn?2+*)Ux%^mhR_xc_hkY$wOR{*;hfozR!F0Gv6*v?xug`o%hTr{&*N8$4j8z0BhD(V?;#Q9=jbDAJW6u>%d8keHaXy+e%?nZ z+dSbn+3VW=5_6~of}7`82Nt|2rbL|`*`t4keq}e55o)cSvZJuLsQf-Y<0HDZt{+U1zt`a|gk-O^U+-W|8k&H5qE z>lr|+Of|~pP2g9o`nooZ`{%b6G>gg+^#F0b@i%B((T2g7!K7wP3*5&-&-Vw@ex@`_Ly*JPFSqIsqWd@vjLJbZH;z z1}8Pt2&s^3CXGy2gN-;mUw?>7%a)ID!|;p>{HAa#L$h!P|AL<+Iiq)f*Q zud@*MW+1>DyAHXXuclDEsVR8J>r9H2wS;PQ8Q=ayC1Z>X>QV%_C#EG2)1g4xx$AgjV%uhHVT>@!d_Vd48!uG@(%`ddkS zyaZ_TYk|Wcs%}gYL;c1pi^0F*>@CC+3;tFAztMmKlR@HOhv&y|{!3t}9r|Bk%rA&4 zXU$`u{e*gw5jp0Zeu$e?vFr4eSkqprIlrc<{1SB~BR1A_hqvw%3tlwctm^<`+7Ea` zYTaJt196rE(Qdl`FiXb`nO64r*OOlTJYn!T{E{9L{3~_KIL8FrgF9)Qo!Sju^w~WB zddxVYhQ@jiuy;)qa%A-b<>TOA%Q-IOoS5TZpdJ)jwdNT%PDiMp!(_(c@Bw5gc6QFb zP;JP;za#{pVWaUX;a+_XEXeUM*q17g{`sP3UwwCC%=U4NSs6yd z_4EIxUK3zs0J36tEh>xTykM0nWtjvrG^)o{50_Wx@XJxta2fC$v;0diTa!)%|F78l z&R+h@1mz6j{tc4+D>N)wr0p-juRU_gpqw~giDMufK#x9cp;i6@{CY2r@4PBl{%8#W zoBnq8{@y|UXU0wUAF|`E+f)1H;N`sLU*uQe7{3lKOzu9E`7hj-fSMDzrZSF_4cM27 z?~|)PygPoBLh`oWX@N#+%^qAYvQ;dHl$7UR>)`#Y(UN=EDHEG510X|I$O7h8<@whg z>LIFr2kL>mHtOM3dOLedY}RV*SONdS`Q@IaPH7C)EI0Nn^$O{Ko?PlgVyi#!>M_1dAj|S5xC*xPm<70S|<6m%t>BgnOYYH5r-S{EOHgJEh6kO7aD-GAQmCs1FHJn^k`0uCe4?S!kfTI zz_-YMXT%hGG&IS&N-VpQ^8bFyfPq_W9faQ*x=}uu`kx0do-^Ey6Xy6A|1EC8!ZICD z1_(f=1yegF`Bj`{a2LhJCg7L&cWQh;IMto?qnscn@k_#7JjYZBPKT>2<^ei^)y<2vFD#Ni08 zE5e%MqHIT}-1)Df_4I2WXoU!kOF4qJi|QF<<)OTZ-1)Ch;rud__%$ErzkK&fAe&*= zd>~>xpZ~f7+SLe<^!OJKWN}}LWYCeR`Lp_=ZA=i<3=?VP5Xs9!05?+nbnPqa*;l4$ zf+X95T1Z+KL!cOi(1BSvh_!O{8v_h~i@_?*@D_&n@F0kD((EVrmRbB#7i8#lV}C{9 z7y74Y#As0gTX&gf%!ctmDqp{GSVp;KuuqxNP;74kq!7=G7GHgVemFoU%%}mKU->@xscBC-cj?r9wPkJzg<*$}}OZ_*FlYd3o3S^Jjx z*rE`$Rj_`$5WjHjt0VBN59I`_0A!-K1`FpNm}1@@bMHSSY`;GC856WCE=d;QL?MqE z*pP-CGtMuwEi$Dg(?d`3YIz4c3K50-B*(vM_$H&4huhgs+C;nU)hg-_H`(>=;Wy^& z>u~AAl$mH=64=_?kNj8Tl3O%+&k4SBz|QBtK9T$j?p;~$`T3FgufbJAJvcmvUvB;@ zjoW(PRF?Ogve4)Qkip`3Bn77FVjS*26x$q>ZS=4zLQY)57VJy7jWTcUu_BzXj)V1A zlouIY91Vl1(&8lMmV5d}t;j^eAKz5?W!)~y)IsS#H0C57WsfL~6X$(VO zgeQY|GKXKe`wvrh4@+T+2Y;xHi>VTKrUmsIxNUACz@7}GID zSs41^)>L%@K&H_)JV7JJzy6FRgj;s_y68@sJefwqsM~gTU5>~~*TB3q^%%AGnoiXJ98z(g)f0)g z5*XNPdaiz>l6Ep!le~8ROS1HLSnDjG$;iQ@kL}r4yu!f>O3KOF2v_bqFtl^L+cC%V zCN}1+JL>0gytfHsUb7Y;l|J8;W&dHE$?P8uPS2hHN+E#wcojmUw6aIyR|fSPlL8Gp zxKD8qE8~x>Km0W{PC(8AY*{$x+X;VoZr*+y4u6(|2z4W*&XA4h{XNm^)Q!RoM&JSP zBn_Y7+LtJjS3~#P9qC&y5%`yrl>bH;{^cyM50}47Q;{R{{KhcEVK>|jFcip1Pcj=H zic=WMuBA8WXZ$!-I@#`ak=g*X7 zAi<%LuFF*8Nmq-x{bL}SYhUvIs1>4q9Qfs4Bo*_^`{$8>F!et$%lppBq6|c_i0wDA zIyinveo4gAGEmIdZ(uEOa?Hl=b);ZoKbQ*PW?+W;=X72_#94Cu%Qa=Yl=pC)q>0Kz z^*c0`SZHle19#l<$ol!~JHSfbQf`29f>*kAdK^X0>3sfcK%nB+#Zy zR;f9ON}gH#$`sYp{U-k16O{4OQPFR^jh8tT&TL*k{1f?4%bnL4lky)-FT{q7L1e8k z=A3>A{*{Vc1Qwj9pNZ=A+BeyG?H*BU;Ve~7KP2;GqiGoD9c=orGTIKP`V3SHsA6m_BjD;GS(v*ij3*=`bRue*P;9+*0RW-XP%tbhFmUZ6;_zVs`B?Qp7Pi}ehgyWD;K zdsXMZUWWI=O`_*?;%)J3f9vzdUuk=Y|BG)snXEbgmGPtAV9Q4w!DQkF!;Ksi{i?@b zd>e12^{=M^Y3pR-uQr{lMRqX!^*Hv`d-$<0uUrK$1=#Py!jvwMlgm)eF-}Mg{M(yMD`+_!sdq*Fz5DCTdg|3900zdzeXgcWcR?H z!cc&dPP7na<_~Fm9*(w7?EEG?3Vi_%yJ*0&h2zXy&G@U251&wLN!Sjfc~LA^W&DL9 zqX)VKjv^%>2YDIrc24owGm(F({fFH3JRCF9%X`*5aCF=cX*`xWalq^yx{>$kudXzr=$Ic&vw4=<2A5U1#+h)#{!!9 z!zr?lg}uS+1OWN#hCtw9oofDaz8GE*YtQ0!2k(gs0{3s6w*Ceec+VS4>Hb4b?HSK6 zo%{PW9NLFQLNg((c$8LiJTjhaQwyPeKJ>(6p$UBSa~=~ht|LF z{-`Nj_IihZDAwM@Yd7BUKRmH@e%Gd_4S&5d^@)%BQeI5lf={}7{z&%(il1BCuKeJ& zrPbrFurKJjCWl?Uf9{?`fIkE_|AngcuOHI;2pmcM0sB94NcDTb-5O%)k?Qf+&s=mp zI=?(go2ka=Ys`zF5ZP0$3Cd6f1!k9HNB2?fuVqi>;^}PK%n@G<`3yeshkeIEtZAJD^KCv&au9U zT_04&U*DkG;TY%9tqx(JCV+vO-lsDD;usBhZ2%+5x9rb_z0|}21_zvRc7Tt1=}QKr$3aTM-h$K-7V|~k zUyfV2G$?5xe5nEgSOEKheh}Kzz#*^?(psmScaE?FV$@GxmSC?0ASF7lGKT;%fWId# zssM{5>YdAZJV@h;9Ihy6AZ$O92(7cz$rTGzBVFkh4J^isg|qG5_zQ=sTuHVE_J--K zGaP|21f#&iRMzIET)?q=VgmyO`dxf6oOK51S32bYsjNhOPrnMV67C9g`&C|L?gZ>n zX^rN5w{&v@!A+RC>;y6jP4FzC} zLN*1Urj?{u#bX9a;)8s!PP1azZ*%P#4K6xg3Z*1eI^~=b6!-i4+;mBTQ8#_jp)LC; z5xQKt`f{TsvXLcpT@2>zpqTN8+*GLo))$-op?pf~lmll!+Xs6^Is&^zdw{i`BMH~_ zwS@JHLeQRZ;s7e;0>OQc+NuI2W{ZB`%iCI~Je1fit_B-2tpqM9P`pM!=T#nZ#)}7n z(Tp>TU_XNNY*Qpj8{Zj9jEif*#!Tz11fNBK%2E=hB0CT2blNAK@uQSrde-h09R$Ku z)DFSY-c+i9vB={@lnlTUc2MjO9>@$%D&P>vqr}lVgWMTo2Ve)JLkadkI|4i1?k8V#H6o4ri)hF4nOp-IqXd02p-(Gh&IaHDEAjfe=33AsB%! z0!1m&d12WD7R4V4DC{(mgn%knnJ4P~ORzC^S$dp$9RNGalCT}TkN}e)09Q(k4!IRK z{)ONQJcv3{qLdug%ZCeX?RHs$6auH0<$0TDhdP~3=kWB`v-^&xUqJ9k4G>xfu+_?W zNkITCAD5jdFAFf{s>dC8ER_*MD^kY@OboHz#|8odD^f-W1`DZ?_Ps343D^Ys3tj|F zIxBK+q15glbtOpqNAn0qNTOAsg;|16d0n`d6u~J#07_zXl8HTVHQbO*4ok2i+dSOX z#!jN7m1`weae;HpkV2DUhfwQuX7aOM@5J$g3M3D9dOTd7ojATit3cnvu7%$%{Bz-U z92lK@0o`veefZ+7v*#WsF!NS5FkfG{W%##k|F|^wcL~;21EaI~yI*_vN9X5neN5ol zjq~6Cc=MC_*|t~b-~YDJVb&Ob&RbT&HOtV8VZQEX@#1OF-p`DG)9)J)Nw;DokY3~c}op2IyUjl5Tx*kWIc#_vV@UjdSMj{j9=+{|+17H_$O1BP#QUxflIG-wsP5J;RSYv#R1h0K6&K0dR{h?C@U;i4KEvlmVhfx zVMF$Ku_M@#NzKMU7$wBnkTouz3sz;CXJbI=6bvt?iLxVJ)?h~0m!-X_6azx#g=Ie| zGQpAzn~VXa1A~G6B>Oz<5UJ}i5LW7|j+YHVYyaX{Uv<1}2wM9WwGCdx4L~kZc0B-H zt^^dq_N+tfRu8x?S1m6af+i5DP&=&SWkb-etMg(!?p8*k^17RU38jNJ+{8Wu+wsD{ z7*IAW0D3;hRT&$mV<1%NU`xjHAqCuVTaJMlo!53dn9gK}lBuU+K#K=9{OXkr>s#Z1 z>vH8|6ktdpR0#pm@p8?}HK1Rs{8xFomX0++f5+q%r(-R-ZFFjQ*$}h@M#q`}?9lKQ z`XTvKa~epA(SfR=AbLU#_ZTHyOr}no2XUZvss<<)n-hztBWfF&UcSL~9QCMvU=6cX zH$_r&AzJjoZ^Z#BD;;E>dX7{Qqth~Boq{ubwHF6Qr>0!BA>ZgMVis*Et>Zycmd(Kv z&=DGdmY0&5s72$oE9zSvq5e8a=E(9Y9WbRLi7_WGA)r|*kNUu?Xb7r7`m%a-fQ4kJ zMf7)0{>S#^pL_Uc%a*$+En9Y%4?nGs`W6-zLP|UiOqTJFjO71Tr^c6!Q-3d^rN99ag(=eOOdV#+NH!s-T81FBS^^xBgzKojW1i7RjiY zWFhyJJLxp!4GT0Z(6B(m0u2i^EYPsP{cHi*U&0O(_LunmY==hK8y09-pkaZA1sWD; tSm6G&0Iu@mLe9IZvLNs;;MRWLd@q(z`c4+6=zFy50RK)`mZel8{{bDqzpelP literal 42172 zcmb5Xe{>Yrl`gvbR7oy%wbX?Weu|ClQcI8qw_1(BL?)tj5K5k6olGHF1z6~@V6#>;6FnaCJ3 z4Z*eq0^WD3r0#asx^vf4{z$nv>OQ~r{`U9neU7NapLPF7y_NX*HEBSiEFm{gV3o=xap19k=lF z{Ez;xuSZCDi0HP6Cno=2i+D^AiKf~J+48?>>fcKug!lRX_C$zN8`V5U<%WFyzxYvw z^A9us_iaq}zxW8}HFrPfzidNpoAUqabJYJIZTNrjL8|j_zc)NFdW3GL+XNmeshB)z zdVp>ho(#1&bvteBqMLM!{@L_pm5^vP1 zOJdq#c8h)?o;{~cGE;ThB)%}L4K##oEy8TtOY?b2gbnjwkU69+VyoQGv1jd}Lli!v zr2_BL0d2mmrPxLKMIs;{B5KU((5y>zox&8#Du1MLY7nJaCY-x#f{{>!kIZ>~7jGs;!cLWdDs`5|76IWi7j#o)Mu@Z7tK>&uP_Eew`YqwO?1w%M@9m zM`rriE7U0avz^J0A%53kx>dPU_^&AY=my>MYyV|^l4D%2_%ACXEGFCZ6dsPJc(UmQ6_(KEioUGvGApVk?>wEc6dY%R-X(+gC)>A|&Z*!mgO4hI*pa(A9> z`UwqmJ{u$1)fYtVqsrRicW6T*lnH*9!Il77REM|f|1le-PCLN0 zo%lfKb1I9llxX8kvKsXO1(xZ_^7F<<)8fG-JMON9rmD1;hgvkltI`3FW$OkTGB=Xh zs+F@>95+IPrRbrE8sYAlCOThEdZIdm{Zn7ziz}BD=eu^^b(JRUs9pL@*HyZ~%D8`r zGRkgHQwtu>-xiVMsw~2|XmOi=SJ#kn1HZWU7LHZ^79F6&t)fZ`E zN3_*%C@o&R;dnUd+E>u9@?b=M+Tw2TJW8e5OB68@|avh`vbVixt0ST0vP3>){A0>ZdPn-ham9Amt8c~*d^@_) z!3^WKm9_SrG4?jCH>>Pm2fN_TGf`5uIfgc~?`hCT-sgtzFC&}2B8~S-A6|~urE`&$ga_V^cz#(CFouFI%iy1FN${G zW-T8gIntF$EQ+%aJw}%BIdbe#@tU@9)SsOhioed9Z1{OrAliej{x!nPN0XtNm*dLIkXCbVfC#2YT#XjpzZF083ZofMPf z^06Mgf!|=ReUWAoyq5hm{42X|h8pg_Jh=Io4exu2;|MZ^9q?#mbCw zl|H7Wwtqw!EAEhO?4n7!WiGI=I{j$;dE0+|X5+{k$)<#FQi-_h1^hA&@xX353fM(` zM*4aCS5%kM&}Vm^6GiZKt){?^5;QyO#0>T>G+T>`@Pq^Em;0PQc!r zx+8?G9Tr;uG=A|}@XxG%Ff^$2&}9StNnu>4uy9)r>P8N~uwG4K>HZv{W;ko@!;Va6aQ%GA#gf!<2n_Bz{#{CFAUN`dRYPQSU!`e`4zGMRR`U-2FL14Tq>N-uZqOXAl*YfZxc_sUiE7BuwF0(NfdMYCwfeY^ zZ)kfqp>?qBu6;@T+AnG?{S3Q@_Q!W;w0~^=3H{Led9Qbj6BCX}<6_;&UQ$|G4Gtg1xU zY1h6S{E{9r1=yOf;OCPwYna5Znxvw*-zC)5j(^sZGLEtX(m&U*w+Q%^RLmTHX~3^m zw7EnFc%WG~yfx}ZHPGrcykDHMuNDWtxIUx#RoWYiJ%9yJliMOD+5ijWuz+8yBz}?J zt&Guly2pg0HivBLpIx?2dBU}?op-$l{A%LL%FNAoUmt(QM(3seKy1wvmsQB;)8j z0g?=+(r$^lvd_-CSIz=jQTWvoOp6KolCaf{Hzp5OF3%hYH~u#>1qz~xWlmjSeT*G!v>)sVmvq{+cAuWMhyqM&w= z=7^xl#_4GaSem5=X%hjL3-D`&++%0pH%@xu+-%TNfA$FO_< zgVvKtT7CKK?hR(uQ1Hq$e)Va0(i;ZWvG-ckaCsDK zx`v}o2bH+3C({2CXNT9em*ta=f>5nq_` zXB2~mX(9PWlpKD2zz6McMDJvCoZ;v_EIa^oA*&CkA+(mQr1tp2M$SnByGLvWi`Iiq{LY@$pw2rjWz0qIooE2CCpC zO!9hqMi3)~!7HVq<@uMiFDny_czq16;7P<1h(a#g6(kBGRnCTj)NxFXsLEy+V zeqF+@tG9hAWj?)CKL2-e-n19u7k&$$gHb#?666n>>>=TNvRQy%>?HMzkma36C++$q_Rlxz zSVq3d%(_%V+(LEzWp*kk=>D4{$FC_(4rx*0!d)@!xLz`xpL8wbDm-EFt+ zP~Ma7g8SbB|2iNa;Q6QV>tpM3VqwgGR+$@-aE#&1HD9w9#(Zb<`1LMeD`3EOs_AK&hVZJ?8B#BKj!i;vrVr+OtQ{{&Km%-P9w;*iX479{42oqWT1krlLM4v|B>nd z*?QO4S-`Ie>PHXt8amNd|0TdyG3I$mEVN6>;a4wWEsVmbMq||(&Lsq0hg<#Sh4|&5 zRa`Men!{ZPCBxE^e|76Q{QA7P$?6dp1@;C7I`J?jo~9nFkbkw9T@=eCD!gU%ePLQ~ zgRiqr)r^%C;Md&}9kL~D>@M$yWsfGcj^bhXmMV#8Q~aw>E2dwING9}%9%Vlf1DViT zy_hQRP+wLVK2?BXswQj*Th{W0{ffv zTKB-G_}4D_cknNbGlQ?ATJm;)f32hYZ1g9`zjjI5<+P!0tuBUtLL0-uI6H@5^nJMZ zATbXUBGl%U`bH6IivzUG_ zn#h-ZFo$2~>CldFMoTo$rOg5lTi9G}b9eYV+Dm!-8phZ|uWFSD3%dZmkPS`Q`DI$9 zc^bcF?9mQ+!kM%*E0EBvgg+CJXEVm0!>>_(-4nI9?AD9pSh%dOUQy}nBhe9f>>Pgm zlx}HY#|f}43D9+!>W@4(sc8(8ki##z?)COV5xs^>f9M;ieqXz8M&Ik#a0b0sq>NsJf^< z&KC0@in>^^oxP($l)Kaq#p~(-nK7-tSizcx z#!4dVU+1aSp$ri1-&AMMkIi}>u3(`k%jox;7ig&{?VUMB*Ej-KOS$HL*OY!}Y$YWl zpm|ame4SLE${qdC`5fSviSV+0OH%m;9Bh>PQV2?6gE3%1v-@2_T|)vdK|cgfS<2Dp9Da?$OThF}Y!Tc} z6Za)$8~PmgjQ}_t8*GsLOAW)cnoT-`2gf-8(WvxO`XQEp>juWvNf1#D>_dozHXc6B zzifR6_}7nQ8<0@rKqvs#mgisZu@e+swKA#ShW!graC$#SY z#PNktW@WO-=uq28t9gA#%{MbHrT1QgIk4mKr!>Go3XXLDK?)Dr2u3pmdW!k zXu<@KJ)hvikKrLG@FpYMxND)Q8rCaBi1{@JEZ~qnNHWa~eCAYqNZ?=NVDvz%pyXd1 z?jq;}73j0=#)sBjaPOWb+A3AGTkLb(KcZwcIDGQw@ym0K-p~*YW|as+{e`r`M)>_2 zE#yySq0Tw$WgF+{saW7qpjCiCSWGPeY})9&p!6xZT&MEZ{HG01qA27d5}e z>*tojhfnK=gA$jQ`TO*97#EyFLisw~P@%l#^usn|52TN1o3B^d4ZMg9!#q_25tC~= zGL2tYoer3JM0SG~XG_cB95#Cv2|dfMxN9+1)GE=6f@bj+CXzLgN)wABwH>U*IJL=r zXnD%%1yPr&Yz^G4btmVTCGG4E5@L?Ec`Zx3pVO*o@Q}!MnQRNS(joy|*65fS@obGK zr!iId;diCzmJK&JqD;0PR$uC_!*KXb;f!mfI40Zl6^+{0dA$u8JR|{{`^=ePN4N10 zE}!CG=^06Ducyk^xpxa}$C9=)n-H%G{X588IiDlw8T5H~1}O;yxA8|M5i6thVvY&S z&FP1)h(lzZfzJf}7mzg~%txE3W(UTe&wq()v>@qUtpv+YW+E~XHV@8ezXf9Wsr=Uw z@{2B;z`vLuKxR(T61>UtFKBTXPDs+Oqj-4e$4U*}ENLz);9pNbHtdmZxrT4F18s|UWsWf8J$BiSwgJEXNE7YYkVXN1 zsUJNZz?xQ{hg*c9omCc#69Noqr*ho2FNt4+RzRk`@W6Wr4nNSH_^R{fb=SUHi-u@# ziN)_U7&K3Aj(~O*nVsO&U}VQ!`+|O`LZAN%ka`r*7C>OuT*Ed7BqL+T-E{~5(k4WI z3`itlG{QqWFj0u-<(o0rzS=##+GX>|YP@msDXTCXG#1e`-sJGhg8!+fQ1@*aN+a3> z?J{YM>S<*meyK+otQ%n=dzz|+)&qyXi54gI47Lczb_RZNuy@l=z_ZcjSZoCNr4jPx zXB>>1vM+;P)T%Qj9qbi#12^qT2RO_*HUyI#ewCXaiv=0qT6WreMJxb*A%XRu*4}ge z_B?)BzH!fCJ1o8WbvnZ9Qpy-zPeOUK^7`QwnoL55TDx54zdk2v7d)hZ&Nimx@GD9C zBA&F~;jN^nsA>p6_6Tj5G0%pfO<@Z1U* zZ1no9*B+M4pJ5yI;FHS0GS+D4!_3fn!3;qWp7)8*tnl#T;tM#*l06_UqW4Fyuf?xRzMOz zG#cp82J6RZbJ60{5Xy4+WpcP~8y*|EBoWAJ{pDkDo>EK;LQK$>-V zYHX}&4!_zF7D^q-D`5+MOs<9O&sOxuwJ!^W36h@pv&tFz9$@RX5j^0HfXFk=zh-4= zSU7P@RO0f9&>6UtVX@TorGaP;?ug2Be4}voVOZTPK6@sy9d#D~UuP8VJSSjZ8|iUM zm|h=Zi8aTeZ@}qEb{r}0nZmE4pQ;;;$4yP9{3O1^|6DRRC&K5nzUGu`Uo_(nbfUE( zwW1F?+Z%Qh5AE9UOLj`~i^H46G=5pYug}rS4CK&TcC5K)f)?{<( zoD}(*OANG;T5fQ!m~ipS^xPg=p2@T2gE}X{H2-SPs7q(?|6AZY2Ybe~UMLr_5F+o1GOz{YJ4fuD)3|y~V=97X*Ht z$kdN)K@OT;@nBq*GOZteW*5|qTGC?8vymXa&kk~E7gC>pAX_|zUp8&0ABeit1Hdn~ zL4-2icE}1_-9C4?_SLGsp>|b`2jga>A|{ju}x z0}=hEPdeDQdICJOt(v*th58M1;|X)Mwu8OLHzp{T28 zGzvHPM4Qv*M|^&pR#9A>!!NCq1=>nxv!TjP3gMs7xRd4s(WdjuGXDjAOoRl?YD`2; zJlJ#wG-8EN!ir-TJMX%IeYiBn&L~4P!J9h#XV_KiM)1A|{HMEe{Oc;B!3EqmqAX&s z^);I;|g8{0#P0--2&f>4ZSsyIuLCc;Bx7 zDki*7HRT)zsh3S`I|4-0CB`jT2G|-^-;yHFF69AtZ=ildq_uh$?%o^|l9u{}Qbpsu zpSIXaPCvX;tZ%9A(?-3YH=j1^M60DdP8Ude5`2#H6D)dwp<*G49rYlk(xH*cNO>78 zgyMk&|5X0V^i(441xW@d7dMqunJ4IyQ&LPGcWNX{Gd;WAsm*_aH`c?TZ(krC)b0wDzz z9yP8k=5L6b|_*WaS zJ_%Cell%*!oCu8wPm5!No$BAHgWZ7@UI&BJV+Lz6wCF88mt-kC4vIua)SQ|HZ3w@JZO32Mb27~Ch@DEzHBWIB9>?* z_-7Mu8VUPQxa%ePm+YZGqny!D7A1i!OIIcTYSY_YEU;(Yq)8#ss`{Vs&=m8H=~4Q< zi8kYC?AWO=E}DZPY(mYLPk6|n+#*Z|lVPFf_!sIo)B(KJ$h!iaf5O(RxKEWy$vX@9 z*B+8}RwW5mrw+!@CdERDLq<5Dr)Zjg6LcD-!iV*AS{h=)Mtgmg>(Gtu<48o z?wvqSkT6ni8sF{4&dl*IPJ1vd(e1u&WsiByEjkLp7~wba_ysL@kcUNS0(g3G#G%Py zI@H#~(XlE1l_^?DDGggou-o`vJ7nv!7;l@XX+W?v#lK9?-LwxTQ<6sg24usGzSetp z+l$FLR!ISVp?tlxm*K|m|$KkW#gQpy@icOq^XgmZA#3-yPzPpcKBG4PAunjm5w#k(jD{L(uL z>JL5px7S)FV{9>jGiLR1_B&c9zKPDWY5b}f#!`kd^BMslNrCX`cGLrOX-FX#@-O2W zYw9cgBU1Ax>8F-|RB0El^FwiXiD~@e85(C%L&6q~w=JPkp~NtGb6Fw(lCCn!OOr|+ zLj8IUpV><%tmrpyFW_Hax1n$F`4#N5Fo(rl-xXJBV^6pP{GyP5QMe6t4xnZRq_cW& zjetw5lS4sF`{1e7b z0lAImss||4EV-xa>zw?T9sNpag)#@2YsErIrG_Tb(T=Bb{3{4QJ7GkRP2pE`HEKaY z#B3BICOvunB_sr_=+>*$G=w08B4A|HUkM<~>4)D%JBZRpQ$0<-Cdl~tPl z=yXm>)*o(}S#8I^&EEEOd(ein3hcTn4>+Uk#sJ`7B&a6stp}%0!qD`RfRDDdng)1E zT8+rD_o2Qwy{ta#O)0BekSEIcU&4A_Qq4a+1bZjcM`>8EdUq`{MXM5B84Ty{W)DG3%V=h~7io5gX_c@_ z$G*V7XkBO3XzQ6f?$BNq)g2`b&<~#zRhh&ih(Ng9hpk9vc9{`7WXvQD_4BqbqHE?K zC}sb3LH)))$&QctN2K11JqBDJr{Bwpj0OPkp4_z1TG6Y2lg>gD3Ki;y<~TAT{`CrIm*)sztIH0I z!Tb4#lT);8#ei1}ChQh30)+VLjGzrNAEw*_k0GtF~M=n}C~>8LkSPi*ru zN-W2}1YCFQ!DMlWAE4*q=e?-kplYs&p{cUO2+CU$`L6@qH+<$ zaV)d^k^F0r`puOB`r$aur!5Hug$h;mn*SL1m$U9W@A^dj2pTok>$mig0FXV?HADwY z#8KOG{L2IWRlE?e1$S|R-zUo{=b96V`cKtwWHeMUQL9y+p~P-U#FUs+6pe&cP(MGT zldNSht0CHG&gHF!#4pW~xa8Vx)b>ep`63~z^u9z zQ!-ZCqUP&28t7>&B(w|cjCs&=pTM?p>JQ_IQRa2mB3Seg|9(8gHv)y_qMR;CAwJy9 zOuO$kv~lLy@)+t5sdnTu9c%;}`?L0!o@8aF)DwVTLHBd|AWQ$dXv~y8qMTN5iM^TH z#^txOS5Uvy|k3*2+{Kzfg7g`q;RY`X6K9 zUr_`4VHOWL{7Q=bEmcE_uay55X|G4q+GW_6)1N2v__f2cU)ybKoW03ko;PP08e^_T zF^6BrBN(!c6&ylfW{y-g!oD0sTaw4Gp9)#$5ZbAHK)1RVb%d^N4nZh`XL}}(UywsV z&HO)5-iLFD{tjZ}u<b0c079$i3k)Q0D-Z#y`?^1rM^!uj^OP0Tzt-+Vb@q-!KM5 z5BxlPmvFG?GK#LHP!_QJ2J`$2rQ;&ds&8Rq{E!D&kYanBHaJvePTLpBrF38m4Pc1)NjMy_efm=J5wjuzMvm!AIq>%@{~^iWDb5gg#p<- zeqA<)H6WS|edAT}c^eDYC>{bM1XAbt*Ly;=hBZC0YA*Y^2t>5Lz*QcA1^la*HhwY8He2x;XgjMY*vG(?6JaE5^ZBn2#L0)7l3Rz+ zjiayNAq+(n-{xxP@3nF`)oEg-Rf433tUIOv?bp1vWX5L^2 z4d^W&A*O|XIP6`Iz!gT6tKawuy(ns>!s!KU;h~kiL+jl)S%e+g) z!bvL+n_Wg|1YeK{{(S4PyUXHzB-8{L0mDylam)M-5=X2z*_m zfkJu^F`Nj)?w!Uj1F9NBS&M=2Qo@$+$6e>eW$b4Vv19Ic3Hk=#7zuwxlQoJHg#4G2 z=vf_z74R>Q$~LmcTicQLDuFm`BL_4JGSVX}>ht_-S*P)>7Ol4aL}UV6rXW9W4fI50pPZH`wsxZ~7s00Okm<|;l;OC;IP&=y30dIA4BE+deZ5(!%n zhdF|iH))*;6fWRj>se>eUpU=p0E+l9A$^2 z>_kyL_$kyUr$3T^T^9#s4-r%(8{`3jZHrE zPrCaD^&93v9!_~<-XJ^6!M`l_zIITAEj*kwxZGpFFEP;Do+-f*A&Q%8NGI4=%BbO} z9?S7B1N>`EvsbnOklA`i`P;N#tsT+-tbXJEOldpnH;xO*zy9K^3@RQgtlzNA@z(J6 zI?yiZ=gAqM4F3I}#;?wWyTfDE$ft-V)1QWZcvIA^h8~r}uU*=URMiH3V>bOeM}NKz zLwmuv|JBU}^@mB?YgCaJZ2+}slVP*9{a85kIfq|1r7%@rGLaGfz6b@B+YvpbGVO0d z-^lT=J>pt3>a{GT?txQgR8w{+*d!wQ9rQWJzdj6J>5yy-{t)A`{m;n(x}sAKzp~;+ zcYPuhn~A&(Roi9KRZ1NIzQt~FFs@U5G%=_K_9AKuQ5O%$?KesXJ#K9VH{^PWBGk`6 z3}0y7A)#$PJ(xU(HuOYGVf}`W`Vk+3ZTT3mz{cXHp%tvLF|H~5a`4Mj??DzX1lU?) z|KB*&;C4P$z`t<7xXH6M2K>4Om*(eyBuAmj;}`1ohG#4s@@17MhI3yGVaD&|!eub7 z9RC_kCRPQ86Nv!iweG;X+F;-k7#RdN0Fdij5=CiKa5D}4P|AkA8TbSnmhY?ywR(N)LZGr8Q;FHqZl9-#k$RKHP4Lau=WwX zeANGQIWA9=sLLu7%pok1dvf)Md!&c7SJq&DuA^8H@uAEQ;&T$j@lN#u-C`?Z^@uSBG?G>Uw@**$9%^3)9l{}Z_NDpuaw+T$3VMeyz#P)!hkIOrzBzp z`1LxxA4Va0_a_uNiUO5$?zn_rz`q=mi70=q?njs!>|kdQAHs-?yncAZ+#qVlpccx* zYr7?WU1Lt4+nF>f{Gk4jy4pha=0$9Uh7FlPzT+6ij`doU<6pB5@Yj=3;lH2+>0^7r zc3+J$0se(I8Rej>Zy^80#+OBDtLOr3JrXTPERl&h{7b~YN{;Qg_4A1NC4NmUgv7f9Y^!9290(t8*UZ)Tdi5>+1j(t@Y&5huE3WqgGA3mRtB?C1a6^W9A zd~rHHETX*}W%Gu&8*L;#X_i_X5@D6o@!=ri!)qdHVz-!hm@j}|rrc4e7t?d~hdB0S zM?2nl6Mp^%f0@G{zAgLQ?*C05zhn*Y68TRxl{XT5xqk$Wv4U;BKhqBbuqZ^ZvVP-1 z$Tidlr5!+)(+`KxLmXj{_;r9Rj-?y|qJ=mNZCXE^^_(h)qi@I9@29|*0NJDB=k$ae zdk())C)0!eJOdeX!gR_uhGc(m{$lx5{YKE!8@y~fYcUE*=LphXGcP%7QP`>_ zWgBu@usdL1?>h5?iOS`_z`vFSIzhYo=*7RGGnSNn>3X+q$X@r$tcYrH%f@iF;GpANYBicABMZql1^6{i3v8G< z{4*`4Ei(Tl*8*>BrA>Yg_}4UAO&XIjo*$X%R&>;Lx+pC=P4kst1mkja>_IG3ziGB6 zD34#=6sH~!tP=Hp*gp@-#s}1Zz-&aO)_vAh*&o7j<9T$-4k!Gn>L6WC_P6^|i1a$- zxH6tTXIv|7Xfl&_Q!~!BT@BvsZtDHj31!^8e)uE~rrCi}AX*N;R^p%yjBUFPFK}DxVHSP3Lvu{9QWQ~ypX6`4Rp|CkEMR7#cUq2{R?KM4J5*Ze}P_P zPw-T?#4l9T;)mY_{ZMW4`0c;IxSY^PNByCJ`y58Vzf9Q%^@os)`(?V*Nfe&Nx?eO< ziE3>DPjTlbJ!7W^HX*eOskhWpX-Dx0fDA!RVHBTv?h~xkPY9V{lHv@ zGdkZT@oPZE=cED``M^*p^Chzx5u*rEE3vb#eNElJ@uF{!azVS64qLwGlnW*p*^bb2 zDBN;C2g%LJWiK24qt9NZ$pGl2@L*^1CIdnHY1L^X@e8tQhwsOdrx?)~-1}%^0)@3$ zuVZc-p?(8M)bnKfF7KQ;=>MwV4t8EU(1O#-+u|8=ekzOR&`XJGu8pupu@$w=S~7kW zB)yL7BYFN+(elEKsut}pSw+jbB-*sGx9J65+onG}ZC`_>k6Ghm^-H#N&#a;}y6O|l zQRSr~Xt#6uuTsgsfL1?N@X(8gAI`UYl@fQ-vhhrxGV@Kha@Tn2zxW$o;MeR26YeOej4Do}Pf2K>4y7Fftd{&zeq zwBXsiu6=2DSvA*#2%p4qX**G|QW_C_rjUEAI3_>Gxqo9@)4RU$nO7hi0=CY~jA}PK zo2;#4${BZlaQ{Yd!~M0lC%&?Mgw|<*ttY{)Ui{12XY_B!FSuJ~b3g`3_fK5~u8F z49gTYz`r5@sSD)vS`7&g$KH{6g9*0H3gkRnZ|Wyb2pK#KEa! z`cGNLT`yVRn-Zo+BP?=?;jr@{#+CAfIMlgga+bm4O4~K3{!l1RSjZu~gnZXsd$)@X z*=7pAq{WTUWHC5?cIKq&aK@25e&Jq`nwc5@IVHk}s}^co?3s%aK#&Y#{v7}M18p`I zXC&Ja2^;z$&VSL?5eRM)cO3gl6k#nWXzNvsIEYxcrWP0B4OGwwtM@pzY|ldeOGXzK z@T-pkaZQw?PzoZC^EoluXFlr>(2WcE>NNk_!LCCF$D8xU zTcXLc@~CnSqy~hgo;CDQLTZr7bFXdYqHRH z1IL>zZs3W@@51>n&;FFt!@J2zdo_6Ppf0gn_Stl85c(k^D+`|kp9vs4nux$%IAc%c z?~fV;p4N-f8sr(z@_MnxQe^#ny+D3>iThns^@oJCSH{e?HX3C#bEHOcnL_;#o}U)W z{8tN(F$QpKc}5Lk;dt4P3;0)uq*T!7k@h-?M9F9_Mjy8~Fj<=CZt?d3rZ&;NgT*3mp(*~WG_prFsl^RHDjE*8_)gtCgI#gZyt z0vFWC^SNbWb1wh&5lsxiT{va){KdAdJM=FY6C7`L7V%941@4|1QzIQQz z3~z9v*%Oofar8sssD(BqJb{Wtce6`{;%*SyH3Pd4>-Uy7m?HbPE@)kVg3p1017q zVCv0Ta{4Us3)LEO1vAhO`x$_Yz?|@=0KXcbECmFvG8kiJ&DDdIv1Twb`6iR+Un_9G zjcF?ed#zh(HMa$WY%9gdlpH^o|EfS3hTSW}jBb+o-1x4V_3oQo{_AeKB^G3$7QSO1 z7b#0?*MCCCx z_``9<{W8TdGKVvV6Ac^oO(g?)(tB=a;d^5B#$+%WbUX}N~$SNmrDE4_j9u;}w1_6m!LkcIlglk}pr_qncp z2|6!P*uE{PyyoI^jWQ%~FV<1~R!%>hG;w|zH3QIgh6(BEzL`yg_|(PJZsRuIZgs{QCswdrY-O`Q8T7`(cy z-}sPT=1P`9-9a zkRIwQzgOL4_r!47%hcEtGCtJIbY+B%VF@4+2&R(Yy~&cu1M{cxOYluR;H~U#9yK>| z1d2OZup9SyB!Vf{;I0MDxFS35l~+^&+${$G%??W}Yd(M0itxU+3tg=r>8C)k$iMV}8hU!Lw+YufIBw!!L&G7wlG>?Z5+Q7yoJ{ zDhI15xG0{hpN|uAc4lR3{Y>gk)JC+{vc=RR=2+TyB(st}Av#T>?dmFq@ zi!K|{*Qf43JjpPteX>8iF%`;mHJF#Q`+n{l&f`~ByC_p6N0(#0v?k3XJTYl#RES^D zwTj{Sw<|T0)WEMQL*5hKdfrW&rt3H8YvzGCw80%SSJMHxfBprIy3-6ueJqb(o|h`> zV&1mEBJ(BsTieNhZB~0Yg30y7<=)WL3jRW}cD4SO>|s27YgxizQj43<=c_F4kxfv) z@tBnzYg|&+t$4I?j(TI6{{nuQWeMe97014IBL8(D$G>(*`QIY{#cuG=wUrJp|9Y{r zSHZtb#kLrwEq`J|^*E#Tg}EKd&ZufS{_GhJ-Cgpx8v!@}bQ2EvP?ao!kWf zYOX<7t8DF&W*lClc`>l9xNBeFU&cm{$?>K}bb5gAr*Zb}De*V0nh{^`+Ltv$s+XwN z*rup5PT;bTUK~9N!8WP)P2<-d`cwJ{e5QUrG7&t|=1<-`$|h(ZuiK_PKruN#K|=^s zYp7d9myDlr5X@F0G|UG)p#uGI9&L&Rl8ManwRA+7kPXZ6h8P~aIsNb<)^*Gp3pRL5 z;q-PB5)d9Cj9zVCB#&Rd5_$7dqAmWH+6x?5(7_s^htIJ~3hv*y+r+Ui>AoEPWjck3 zuZsIj+$@+uAR8mmNOB_uRccpJBC?z;COzkG5C)mev? zOZ<=>{VH_Yc`TFYPnKn;^}`)xo2ahYzI8i$pC-)3t>Kh%p8ipd@{+yE6n+WgCL8Wv zm@dmGH+KL6mibUWZ+%GMr>T7X#wsmwHIRfG#Dy>dWS{02Ynv#t8v0nSem=yJR&Mt8 zH;>Yx&&;(41@4b}UJbw}Fmu|z7N2kfH<;EZjT_v-;Kw4$3gZ>zSn-hOUtTARm&I)bAC^(+-r9d%{o<-?!CuOa1x@lP>7 z>MHpmEAj=b1xQvB2lu3Y7?E=*??2q!`h*Q`H40fQz)O)-0)GdPC0S-V|ApVWE&K&N zId{~9`w#iNLCr9}D3eNNTL}a=xXv|gL77XEUj_VX1{Df>aOV}e zih!Xs@t8cH+h_vFE=}XtAL+8%$o-P<9N%Q{f0-<9N;o23~1Lv z9(K+J06~0(`7hiA5*E6k47OhY(*jqJfc*o%^7${die#UgM_DJXjP@FcNaUecpw+Qy z{OShV0=_59N3D(gTq`c(P|G)(UO7LUs!Y_IM;cJ~u8UMucoBSiOF!SZ{2csGo*{A9cchL|zO5ljPkE*Ct z;^}%%-4N_+s-}WyOY;T|8Rw32+<%BO&KQo%$bucrhI7Xc_!n(I=J-tbd2N*jzX6^C ze~3wt;w*mpW*uh8+H?4^OD4)h+y1p*IZP+v>p}(f8^iPgMu9dLDQib(`6J}$qBh>- z`4b|^coAA)~j*M-;Wsq)v%#Al$h$s`wcl=O$W{1@JE z)bdFmzR}aO3KYgi3BzqOZC^eEnOOm!X_LUk`a!HI!v$G#TvPT%rQehO8B2g3o&XWU za2oLj`M6X*|20{RGzvjB%+gB$vX#=||5^P;QPzG{G-*Cy97EYy3<1m?^lQn{I;wN} zA*w98!);nO`_OXkkLqIq+A6{seVkeDJcEA$mqV(yfDPHtLP&(^1)(*89Y^x{uV0e% zHmxako_^uMkQ4EMi8p638!6Ym_$+zLcXXa_i*gEegAKON1pjjCE+XJfj(vfDarpU` zUme46P+mUf&p;RhkY%7c=JCroNs9?P^F2DnQEV@ipna;U{tPC{P))fv(na#x4M5T_ z*&l^&hfzin$GFU%V;XcecP*eFQYZaXl$0PuSCSZx$x4i_7Bj0Ym_Nn8Ob^3sRArD$ z`aNy1zXc%?zrNMlok1Z-j(_3$XmhbBjiB61UOVq6UF&{DjigsZ^7R{sacytY2xLRR zmOTH(5qSKwiHDE!^@ka8O)O60d|U|W5y*ypijQ8&L`Hmj!GN6kfquwQKTq0%Cuh^o z9GS&ip>Bv&y$vBT$G?7}9YfE!5>fl}@Da{*9Yral&We{@&NAzh`BpYbP8J{uaTX>4$@|+7MVEH?8Q5nJ7dg zzdEy^{&2#JE?T`I6Ps{0sPnN{lv~Up`MK($Y}_IABd&+p%eyf5E*S zkjIwy;poanG2KQ(o1F#vAxE`Fi#CG|$xRDTU=kEU1T0|F_=WtJ8eOvD>8?NYIEE%W zkMmzbid_w@+m6NyrIKapdUK;wc5Y4`ndOlWmt<{ zD6n+YT|+6T-$2+*K6|;z0c0M?hOQXmw{!Q;7XhuHX0%#RDmDSO^cr>nZ}3o1zp;j( zHD$CQw#WA^I6cmeQ404`L2KGHjbF3rWqDdzo?rf<1dbSc+XQ~u0J5BZc#rr5a$g8_ z7wosmrIx={IZeQ?DkzH2GimPNU*MIrL^wY41CF#83K2CRnvI=VfM2NJh=F!pu>o7X z+FQ_eZYBpzyvgw|X>)Kg693I^+#ejiYl{+Keq%){lH0Xe@bhATuP>^P+;HXfw>{ToO4p-A+!Z?|%Zy|OGS z!U^R(Y$uS$&0SO9h4ahM58)+D1s5UCh>9Z1gL_4!eSHeQZqa}!&B9&0v3sFFzVa%H zlM&d1@3c5rDe-H-Q!U{AoO^DuOy%#(6*OA0A-N&@?9?ApfWy5@=ebJ21~1AsLkanY z%Qo`f*Cv`w9QW%d{MnS%zB3?V^3BRg{Fc*3;QlCN@vH|E z?0E!KfmYD2^O`)-jE-fd@ynr#a@Pr%o|V>&@-$*vIQ)86z`qVuI_B9YHi^fZgFW$! z+5s;LLO#X6keyuZ-=ypgK(0xy=vLm1jVB$X&hsy@NUYaI9mPWQxl#WZ?!}rcg5M~p zpYNe-9KY48m;!g6C;i=BV|1Y{nqDb&NK?);?msjR&XA)hFEa499DbD(DjzKUE!5A;qVxxGs0#VzQd3|1^&EZ?T`>V$ zhT;=hqj4AyHb)_c#~<;OyY}VWKksq$LtJ8PG`;G7w;Djkahq}e{*9vl6z{je=Gl1ePkzkqQzDmr>iS#~Hf&T~Ku{{|1m z)Am(t>{W3wnNi+Nvg{Cx3nHe3_=6R!c^bcBB%yXCj2I7L!rymr!FMI;x%}6Vid)%_ z-ZnC`g>FzZ=3p*VP`fbyCF@ceIc%^3Z6xhl?v4w1k=GBkhH2Pp0Bmg%%^B(E=>hum z{k@@OsDxKt=U|je@(5g(nCsXn@?YKVkT=yN4+!f~FUBtLCgwGA_ixO?4OdW>RvOD| z&@uF9yMiJO^zc*orA?SP*M`3WV>)TClM^l$gnw(T$9;cpdVHk zM-tUB;Mc!lKi3Xx`1>ir7wKEaP&kppuRG`yabE_qAuiAmO_|z%P~I_d5u&xS;QsmD z$?F`elUkl(NEn^PUcE?%Vqh-&^7wVjxMeqGp+{|JzwTU+Dg6hfp5r2*tbcNO9>3HV zsrHMorb;1?eYLi*8PwZSJAyDehhIP7s8JMp8_NbaXw|lsEx*qLujxH2fqSm^s zPkE1D^GKhGO&gK(>_Ls!%|3)!>DwAi+_`rwkp(Wx3j^UZbNKaW&B0~i*yhen0qn7` zUE0es)Xx_i=uZy6f&}S)CqgV-zK8Ylf`|!R6!5NWGi_g*0ohQ7VN@y04gm@_4U#H= z7diZj(I7)jveg{KS-d8@ECs)TKU5@EX7l)kx-W@ev6=Fc-h1q)MwAhPpBLzJ4!>>^ z>UJ!^Ry`q2DTgC3@2Hxz<^DlX9*=d7xr+nyF(kd>XGci9?_?1Te z1}sIunr4$c_J#8)Z!_n7Wo){BL(os09^$HyC_RI&-nkY|W{apcwKOKdlw+6Yzq$nM zOUek_v7fR1oK?147v%8^$wLdFk)_;^I~JOD!q1O^`Sv3tR)AlST47C{N+vMo9{X}) zbrZ3A4!@*VU(8W|SOfE{=UcW{cRLTr!W8f?{Mj$bq#zPf57+KS{m)dz!ZiOXlKg8f zN7}2#gMYGvNw$yH#j2OVljQJA<*+!(ztrZ9+VE55FUM1@fr|fI6bQ!$yVjRoW zW&L6OeAI7POrFn0pL6(iUfi&n+Uw3LzY{lhH^uyKE9ceAorhy98>*-D!<|K=Tn-0m zCLcksTUN?3K==*5CW*gb;^0mL;=@Fr8Pt#l#}Wj{xnC5O%!CMbD*x45^d_w{aYKHa zyegk?S03Raj+AO*q^x`jzh-n}-K#j-+=Yig3~AgNU>s%)*qzf4nLPg$+KKvkbnL68 zQiGK@(uZ>VtKHK}6Xp?_i~M8pgn|cBM&%7EQa{YqZ&-k>dV)2X*c1^eiz_DKMk(Bg zn8z>Yw6cY~-h3RXfT7udn=LlHgxQ?KuPU3^#45@gkgwko*hRM#*?SqfT~3Nmhp7&4{~RvVH^i zfv8#x=|!ZKkcpdC07hSc+KSOxD+R@sm~P=6?atOL&6l>KQ({rrI> zSic85QxMJ*MaoP0K+MdvHn20UUzLA9MKZ+DVD-I$Q#6nbrIaT1dduE7eOJ3KxNDk( zu6>Qe6M`kZnL*&D|aM8c*x9@HfYE`i=rAJk#yaFHYqJ8Qu9NGQ$H`?aM{MGpQrz?y{n0h z>#D-%&b${t+v9qs@sz0)s%NKaO-a<}h7hE>&GjU9hhpfIIB`K)yr2rY$iitB6baC^ z|a0*FVX`E z9Q7!?ALd2ZaerQub=>|#o`%AzKE_{}1_l<6jzj!Y?Brg6cf!`x9 zJ^zJeI6fn^-8nAhxW?EUvDau>l%9IE*t|%%k|I3gN6PJmcKB6 zm~@4MZ}jeiS@ASW4;I20ybdCB@z>9iQwxJH+dQv|C3ZOS5_U#3x2THNzz57xBUpNYQ`?3Ly7U+Alv$TZ;5S0?`Ac{bd( zVcaPnkHXv_kNG$8SI-X$cx~kZ_=^J#xMeINCmer)bG3cx`wt7}rPCQ+7`lO%rQ-gm za*MyP{slMKJAnH)5P!))$6vf6Hqg5Nu+Fg6E@ zEXm9t;+VO%(>w8%yMJECGOhTlz_W#Cc?O2J&G#s{0tOhty8RoMumdQRz2%{7p4q-K z@z;x*)*&(V)5G;+BC-sB=~2NW3w-_y;Vp(eI?qi=-2THC(KET`%~uYLVbZ|GUrO^A z?-t`WE%e#?>CePp=C%z)TRf4t`y~(=;;%*6*JAI(#@F%v^BCp6ZvDnWH|$GXP{(gV z;`nO^^Rnt?nm{*JLE4{}A$h{2!}o7oO>kT9O@06T?y&Ev;veXpg$MrBpLu`E&(Gs+ zU)TCyox`w@MhWf$M8=-~!l~B$;n3AN<}X~M2Y(nN5PP4%Xp7*lR{Vwh@Rf$h&pz7S z)cN5PVLh4ni^q`n65Penj130&a^_w9#c{zd9Q*2-)IL-D7VH@~-xIasuV2$udhljx zy?9*vG3^g=GVwAbo8}L}%S**qSm&D28A3mddR_eWrogW9Z}zWufws^O`;2edx%TXO zEB-nTdp{q;v#~$iCHvSPBK}g4xcF-q^31ypjnAZR*7;R%kM||$n6?N!_I05$`<4-i zahsw)AD580`0Kmyy|AzKA?=@4AGZZAMS-NXe?z-LmS~_fc%SyNB;_wUJyx8NZAT&BF4gX1TR85D~%fbTxNzxMgtQAQy>Gw&i3=l?Q^Bj0Xh!5*}N0$N0 z$Ane2tD!74^eF z0z57nT4M7oi!ttzy^Y{77+{I-jKCGL3|zVDUNp-Khvj82I$a76XrMH(b*Kn~X3U`z zf|^1PpgL#4Ykr(GSfXsX>e+Iq z6%fs8kjZmATMH+G%ADuGy zzN!c|kVNZkSX}BeEI;G+vx}NNf`q-~tPe!6(vUo`RgeB4{1>k}mYC7~UZ?jy` zm4d|T?5s6b%OmsWj-{Y}w?^~l2CWM1-*{x>cN>4-crOo}&PM^ee&74~*WOyX zaGb&NZ?nMte9!3Q)$MOzy7E^I_GE$6x&QlL`QwjYz46w&41Tol#t+}U|Eb36_Fvw3 z^E*yQ6lU-ap1Zy7izyH(80b!{l4p4tbgT*5w*c3?r=P+mzhQix=H;5FtPar@d}G3B>q%|9BKV(7B((%AEG(i=Wg4->qD3eZE$U;m%rMswGr9fEFJ*OW< z5;moB_%{OCHo?15w!m*!J2q7HhTnT&pdp#lo8jnwgqN z^3&w_my3tw^=o@S*Ov#A?M!aSCEhfnsD3(rIVvS-J#mdKv6)4>Q82Ed_U9yle;+!MA? zl_?Lbjt)@NNkl4L_pxiF9f?cO>XI)Ob~b!w;6GhcX~UXu6Va;SFTbWFKy1eWpiVcF?yQ7yKjtWKG_B5{RIqFQob z89f>w94fKD+61lyCkysj8Nx@(%G&HKVR1_*V*a=I%eJ#;`gF)f&L12F%$o3(O-Ai>K7L!)^_=GC18mt8&lHRQ zZYu8PI!%>6z?RLo9b4YY6@0w*p0?YE{DWmV!QCXH`WHV$t@Bl<20As+sew)nbZVee z1DzW9#MXcwFJZuh@sj++Rzj!Xof_!WK&J*eHPESnP7QowYXGbKSkd{#TM;SzOT~y} Z_eZIWRNs$ik$;D=6sL2swJen;@^7++cqsq? diff --git a/fpga/hi_iso14443a.v b/fpga/hi_iso14443a.v index 1ad485dd..ec5aa757 100644 --- a/fpga/hi_iso14443a.v +++ b/fpga/hi_iso14443a.v @@ -252,8 +252,6 @@ begin // check timing of a falling edge in reader signal if (pre_after_hysteresis && ~after_hysteresis) reader_falling_edge_time[3:0] <= negedge_cnt[3:0]; - else - reader_falling_edge_time[3:0] <= 4'd8; @@ -333,7 +331,7 @@ begin after_hysteresis_prev3 <= after_hysteresis; bit3 <= curbit; end - if(negedge_cnt == 7'd47) + if(negedge_cnt == 7'd49) begin after_hysteresis_prev4 <= after_hysteresis; bit4 <= curbit; -- 2.39.2