From 7554370c309e934787410041e2f747ed656acce0 Mon Sep 17 00:00:00 2001 From: pwpiwi Date: Wed, 11 Feb 2015 21:14:34 +0100 Subject: [PATCH] bugfix hf 14a sim / hf mf sim: polarity of tag subcarrier modulation was wrong --- fpga/fpga_hf.bit | Bin 42175 -> 42175 bytes fpga/hi_iso14443a.v | 2 +- 2 files changed, 1 insertion(+), 1 deletion(-) diff --git a/fpga/fpga_hf.bit b/fpga/fpga_hf.bit index 8b0c7a3788ef438c94eaed81346a08f24927f954..4910e6ac50d6e3cb405bacee3eef47de443b0147 100644 GIT binary patch literal 42175 zcmeIbe{@{cl_t9D+$(XVDygnzJ4+3QT$O|=oRUQ^T2 zj636G1l@0j=(PJEQD_~_{e0iQ zTlc?h_EO{>be%M zNZzpGh9vz7(eA#B`1!$iKK1z|$q*4;kql+||3)%og-G_aC&}S|llS|&B;k4G-=QSg zl%clIQIpA!f6I?9)h~XJJ|XS5yuy9#zDN8XeVTq(AKiV=@72ft9WT<=@7^^jOU+bE zA&JJ7)Ktj{dL!iPjjPja7J%{c9+1ci$tLXVN<)F;Kb+)r)JmZW$k zq~7Dq-$PGOhZ8<566Rx8%rS~hr}0EM_^W9>%kQE2r|CRFo^CBcXJcJXV^QNLA8?{Y zZ9-S?DTNLj7pbQ$Iz4xmF32A2J8hQm#6e>QL&cA6?s4DqsFsjtsms~M@A*Gynfo4# z`;65jTzQJ3-Pk+E@(9Qif`mo!CN>a$N;PW?HLm5N!Q8ufm-8Wv3xBoV2`9x2wite_ zmDkWg*zF_t^je&7Z)Moj!hHH2>QdT0VHPTVB;^~AtKV3Yo*WC3=&)G=_ zDKTpFi3M~YS;vjOrkC*K1}EGno_FWjq3^PUS%YQ1ccA5M!@80LC9ODQM%;M_Je_EBSAo1`yOmmSS(BkpHOeLc2T zhke@)(MEeqv6T&ZnxwrHv%`5&B9q_KT+_yVoN&JJTEZT)>+@m~PqHHF z?A!9SI_(WvYwAofiYJyGHfbcpuc1Q?SvrJyj!MnaXQ<0;l&z=i83=|aZ)j@WcU(Qm zGG}g|m^(=qs3!tZC+W;^ie-MawvXS_T%-DABh%rf=+m8!gI-1S;+mhf2} z^9(60ZjjrM9Tb-0S$FJ44NI7?<7TgJ?v;s*VG0P3Ct*{Jxcb#Re>ac4H~o!)1U)7@ zRvURt6wesu=a{<|g?YPKW?PAIR;02CGt3jNKqE*W7s~+8$DUrbUK^ zGVPAr#~p=3YJRfwMrn`|r!i8SBqjl4Pn2h4%w6~4`2{)wQKN@6o5o<`;svdnEda~x zeU_wCc+%})nF?a2AHU*pd3{Q*eud2G5L?K|IW0-g;LqDjc+YdL&2&~LdA<4}I@@O> zxu{jo*&R*;%d?HOsVGXe$$ezadQ0^wiWudF5>8zKeKwhHpR#`GJc^?m@|brXSDgh= z=%H}{E|?sNHTA9IE-Hy zMFF#M34Y0V{tF3x5GKXN3sS)0;g_xO3-++T)uMl*)X7G+&j%q740Q#+cw90%rm1m_ z-0s?WEZimdl^TMcCd8Ov^WKUzHJ4$=@E(iD?&4RXX#Az<6kAG5Ggzk6^rY-$Agkb) z+ELBBZ;jDl$eO?sU|fZTJgzc+g%o~G$NyB!?HzKiEdT;s`~oOc@C#_w&7YpgI+O%# zT><oIW&FC@#jh)-!?X6qd{5|u7#AZokE*~OznnVwBw~=-=r(svd52fySGSB7=Emto zI*3Lq<5x-o$S%RJKVlO%8(Lw)#JTu|hL2yaoo~o%yKA2=G}*4rw3gXe!D{@<#&^W7 zqfPd*EX?vG?X|IyF2%3(^(Vz&(Y^K;R;xab84J0Ok6+62XPWP*pD*sUHy#B9039rA zEp~4JzhIxKL23mWrxk3LA+xcJ4Rsm6{=k(PcK%g5D{u5<2BJauD*WofDCS;k9HnJm zpIq177*`d3CE~`STZQ<#+{Lg3M6+W_09iGD^%|Lhc}@4mciXPaz+5G>(q$LFU7Q9eFF>V0lUS#jrViWKp!8!hK*|!ensw?`=B_M-@6{L^)me? zx40Au;1~O}%JP@!oRvxg0#4IeOFi-Mi`bu+J*C8$mJ-j&|FqjUtNi&MMl{F8_hIE9 zwo4-;7MMfSGSmQh>;vW(N5glC*IXPV+Nor&TPd39Uh}$t$g#|iR^gZB`iJtPu=669 zx12g2JA4ucMrO>#oniR=z$V#H47W9%un!~+mifGZO<;Y`vK^CzC-4s&*>yY{gDb*) zusYnw$1mmK%gBq`QhI~-%Wc!Gv%qd;TO8ZNuZ_U3&Vn&2x{R;WGKQ_w#-VIy6@Dq7 ze{}ioEA_(PQOr^{^Cl1D%J>!GHI>blFd*FSEQSN0#2r@|zZy33xSR-SI^cJobY<3+ z%w_!g16SrwXYRBy8~Tw0GdN2M$SU|%^CqtsMbg^W=wj@~sR!QFuA%Ydjl5ny|9S;z zwL%*C@R#VxWXrMo*?|t3%U1KRQCb3-p(zj^TdQuFNLV>r`RYFZ+RgZ0FmeMtQ8A~! zcx!@kXkZe`_%-Jh8*7n_EC(E46w90kidtjun6WIqEr4G|;Ma#`ClBX5{g9Vw)|lPd zGl>EE{A)Ob_Y@=huG@poz^_AC)8m=033T@HD-JQfbjm0WZlouv)xitb)ALd-oR432 zXuqBiM)xfdB{M`Frvb{!s{;7d1jF5F88fwiOb7EVlULm%zDEC5{z(Z9AHQ@PYf8|{ zt@M`Yb3nVs>qaOk1N`giN6d?KGj*PUw{cPb3nzL;o3(#KJrfw0k6-L-r3mPdp!1oo zvB-XH4;(WXkN|$=?Sq&fXg3r;UU=R}ZeBq5(nAyu;Mcbxb9)g83-B$pOvS%af23|e zUR&4_z^|j&haJ+0^O~}ok%X@`DBBpseg5@#K&zfYoWKfx z$r)N9I){J-P^(aX~T7?2j?O z*yQYDeo@W8CX8?BZ7>C};Y`;0Gk4l`m*JPOy=jQ{+SbSF%z9n)$C-Zx@#_%tT<|ZN zmR)A_{=pV|tkA`XR>rTZ--f6+_eIxg00k$t=Jvey0$sR-e~rSc1b$6`cAb@7c4QO_ z_jITWG6(VNBy~_+iUJ*)un4eaK`#`L`S>*n4>kc5t_S!+RHI3(#uHCwAHTjs9q2RQ z&JUn%wcJFpOn`sQ|9KW(?wyf&EDv=7w!-Uqo^4>0k6+)zue#IG{2<0fhizc@?)tZ! zR9_Ik9>T6mHAmzhVt#ZrEf$R_{z*~bL=r6zq*a@Gk*O!?`NxJwEk|fAol?MiG%&@@h`@&pD^xB)n7wz6&6o5 z%ntSp90Ps@`PUw(a0)IKOu=~rq~<{Q^}<`(%kk@jCHTfEx|jq1nz`a@_HX3;(pKPC znSbrn6(3ZzO987`Fm*80;xzOLpMNR*>J6(tCWEh7U_43Jal_+Z9)7J2x2dti@knyR zuucNVeEzkE^}P=~7xoZvlPDrk_JqA`n*CMRCjovL=;OHK3ZaqIPU|yvY7{o18o%sl zX|Nmb+2??#biTviUso&qqNoi!@44}p z;}`r5tLN#&XXoB+yo(KR+nXR_7vz#G2zb(6FODBRxwdl>*tE!aT!Jc|LF|G72!51B z3cJ1dp=0E09oiS#Si<%jQo@52$K3cvIeuvDs4YmmCk+Vb;69^xPtsirdk(f1$E(H37-=>T5NvE?^!LL1`^K#!5{N8Mi z=|Dpf6LkXf0|RU77TgxazZkaC3$=AakB2%aG7bB@1%2Q#cDZYT_@Np_+O$F#SAs%G z(xGv?9b=bRUXE`70-TmfW487a1_N31J-r{G`(;bXn1Tml^JgJ`i1#E%6Q&5+Z&LSY zLsE-Co@GwL8ua4Dw#&a>xc!WFhW>(Xwi&;KTDVepqBhI#;rQVb$>dBV-xN211!i== zrgMaWcW;1y?O)RJ(_Ei0%>#P79!?I-qkm-IFIyEq9Dsx;?`xt1vb_-gN`1<@U$&RR z8$>XE7_yvre1PnIYgKSKYJE#~OopuresTN|u(kfGIK6W;)ekW~OvhzPhD!nbq8a-L zVolmBXrxF}_+@fLQSTHfYB(#*SDG zh*(FTvAqhv3`ITGTaOu5ra6CQJNL<;Pmq7vg`?r^0KF5`y`fz;M%rdSW+l?bgqUxuJ{eK#>Q_PWFTm(L?fdeqOl9>v;)lSmEaZv1 z`V|NNI&Xk&6<56h%uTI}nhelPST5mTUB()@WnF&8T0xNk0R2h$Q4vS0cl9e?!?4vl z0ByQHcf^S??OFtq9+UM2QSa(Sob}7L^66wOZSHr5OZ7`dBYjI+4!ov%SHE2T_0Un{ z?fQAFXcJfViEit!>{h3KJpj>$T9C`XlBM_t?GqqkHx)Y8hOeZTPP^*dO@`K=CPRh9g z{7ZU>7Q`>vQvfUJre|{dr9J^u`7AgGBHOfD?XiA+BONL&oCyCVtX6-%Rbv0l(0z10 z8Pmcl#}5&jHam`mlbaV(4vMy@AST785U_xqE}O^2@%bWwxsVVd2N$c}5~H+<7CG?H zM2Nc(DgKo@ikW9M@1jPw3G9bQOpUY*cPRkBX6Z)YSL-zNtA`pKP^!1lh)z`EhqI*O zy(dAFe?v=3+d#X-bE8;6G+e!K%s1@K1IT~vX!;T%Y{+S^U(pt;g9C}BG8T-pAH_0{ z!%)W^;MbVVPvBI%0;7)~2l};{0Dlr;x+gH4tBU*{JVCs!%)jQ3V|8GSu>=6A6=;0! z=djP+m&6a>6^dOlPP5&9Z4dIz-YqSO_~rA3L8{?#d*d;5|P78bf^JK1M6Wzbus|c*)>sJp4m1|0U_`^jA`$mEvC| z#1EfUPaw08U!!1}2_1%;!8glm`kcjU3jfgOU&F9SsX}yEiv!3I2LSDoFH*OJpHSgn z&9fq9M2}*N0&@wlu#)TzU}}vsGGW5_$nIE?juOR+Phr^$Y0x=AX)RU#;Wgm;Nm~aWWiJHWS7(DWdc+^s64mvw~mB z9`1oXe9JYA*THJ8aMlF*mkzWlxwg*k;NeUZtzZv(VV~2AQoWjaLWkuq2Kbll_JPejq^mxY{8z+%%KF9T0>iN|u6;-$ zg_E&SLKJHyjAs@9QeHO)UOYR7Q4oZ8D){Ak?@DF}KOF*3f$l)&samz?o%!HjCRX`u z>_txJ)kjCLUcZv)T!|kxgMVc^#$eT_tZh!m$HNbRH>rw_>in0T7{RU^mxCsJby7x8 zElN3lShGj+*zuk*0oc0pwyoehYBqfQqMA1pw@MVXU&<3Am5dtTO}}KTj&YUw*AevL z;b`YE9O#!+1H^1p;)etu(%d)I0338q7+pqW`@=B!*Z`3Let{;-7^BrMXck~m2^P6#^}eP z3c+_*Vh$+(@Wrpz&4B&3`eFxi+&>zp?5SICLrcz8t(9zf}Ctj^wpT z@ry#2-SD6WpPv`E8o%s>oz4rma}G$>PR~AT`6~P}I#$=^W0T3hwPSa}n6nLE2-K_K z*AVTs6BBjw#Lvu-Ze?yW@j?LEtw4v7kj3-N^&2xX1q1R1v4}3>_{>AtOq;8r_|1DvDICjcUwqWcYeyRG6Zl32+`YCmNBFa41 zo98KAjXh4#9e3tW)0t4}PhI}yJ~{5%Ues?ezUx3Mrlnm?AlL4i-d@2k9sJAgEP!@> zh`v7XFn~-<(QDKp;V~ZPSw@XV%^KQoB??fhsD*H7DIC~i9)4LN;Fr;E!?XG@4c39e z^bNd398rXa=EdjZh#$TKxQWkb*N9QMIP2!W7}4(0jtli!%)idXud%nygkR?Yk}~+e zq|{M3w|@P`%fPSJLSja{NEc-5B;0sdNCvVQZKA@zUZ9q=aW~ZJQM)y-6wT8wAHNO* zzgnDJU+qgEYY*8*rlNx$er3VG@>ohE+4PLuAF}ezMn%6)mhtPqGd*!4)7rBVcHUew z03c&K27UjfyQa*)&Y;HQ_M&!*-n3Kmu(kX?0sOk4BMvZ);f%{AB6?i&ZOhTsieE7Q zddw-@nVS|rw>IZvEgsPTq7^In1&qs}1OiwfH_@(wa{du@RDE2&?D8)#=p>eVfGinY z(=vV;30Ob2W2;W9uwhtMWOhV`7tG~f?7g?n(mP!6;8bLuy28b;n%NwOA0uxL&Pv4M znj5fQ`gro@Hs)XD_~C2zI+cBe!qhG;Ov!jPxb=Wn~~UFxN~phr7@ytVS_a zvQob>%wcd!@50z0ultgyM}AOjHaaZKhR?q+t9i)7)MJ)X5gZyu*U@J1lnVc9IK%H%nII0uQap(_01_c-c3 zM_s?=G(BApUJ$^q9mXS~Mds|7$ss0I^Orb?A)#o2`okZ|)~s<-ETacIb>Ep61 zo{$ip^Iwet{Q4Q4wGltuuBpZsq7M$fS~&Z+u$ck;dX=8eb!|X6bfHQ!VSr0vk&>$$ z0{C^xMi$sKhI%d93I#DpSOQPth{^c)^#a|iw`7cui}`e~4WnnmkLm|V)v?SvYev5nOEdSZ@UN$dt2K~yK?C7gjvuyk^+6s#|FxUW2;{#^!0{Q8 z8tW_$PSSaK1U^88f92)4yH_XlS=uMClok|ipBdy|$aaYixup=BV#UvecLRVPH!K+s z@UM>&O4R5!>UFT$P#<+O7#t_ivBv`ZOK}IS zUr2jx*m4b>LH;XIRlgCW|IN{FLI=;S|BDi^AP_%9*`bAK;hV7YJ!hgPwRiOA-% z^kgJDy(MBjXTZq7R7$RefTa!Ozj9zww{aXGCpx;r-xzqsIAkMv7r-yBSm0Oz_9_}2 zyeiRSam7k}{uO5i?Szv}NM&+^#0dOD^hpN!mm6oBo8W*O`x2uxjpSXTSm9qY6?^C! z#?UmLsCZPFe}!g8IJz4-sV#@iyeS*~a>d{9@#_L@ktoAHBtB&CqgXn;Pt_lSR|fFw z8P+D2(7+yxj|4Hd%)b!J-I7C}ef9C{_Zf;QpKa8&x zFY1ptEqz?n$3O-ygFR>SXQd5&BMAKcC|3!i_zVWUjc37g_X|6Y5e6Yd_s*ez_xAbyEs1Ir|~ z-!A}U2qcyUIgpr=+XDD?ie?;aQ3)?@Ce00~m1jx(_~EPaX}QnFdY#sxgEn}<<^1d4 z(Iy#NY3yuLVX^FO4+5L8f0$d9$mIFq`uR=sVz%)O{0f2kEPjQCRDJyTVU4+ofL6@{ zq{dEU!t=N>l&~>UKYsWHm`_CGrS=M)6Y!$~GW-1NH%MvqWIHDqzhqAqw$7K?k01Vq zo?$m*LgTn-k9|8DWxnI{uYYlXRvbU%L>Lw)I@P3fL}+At}GDt=MGF9iVO#Rc`Eo710&;X*Q+RXORa~U_xl9u z564y_Y}o&B346Rtk4mqQ9uwuq4{s7*&%{8x5EXr~xs~}>Tvrjr0DgUp24&U>&ojq$ z)T%k*rM$si+xzDw`sk)$}u_Arn9R{+1Z+d!*P_!2M4 z14#rD?*gzMFt2x@Uq1gD0&G3xz(;yXKR{oUf6d_gr0Yyp@C%s;Ahju;#n%5)31$$^ zza3}&^5ciY;)Gl>6_JClTTq#?=;KOw6>#(M3nort6x+3NdLoiCZ|4DeHo?cQY2ep> zM^Tt(RwM1@AQ5(fBZ|`%{JKjF!ajqxZ!|WE*m5IRv4?*BhCy3~&}XYSP5pLko$7-% zWgG6}7i#e_uCUoOjz$5-55AVSre*$x_{IrZ>|nRR{SI}7A`*5CyN=f)5IU5uf8Czs5yX8>q4LT${jJO;) zZEc~2rFb%U{_A0c7BKVUN>tQGO%4sxMv2w&@oSj2H1DEtQRmnxcwC`(YDdoc{7OU^ zeEu~~zqGqnBTb2;8M3DkDXsdY99z?EuL*6NB#nd^>kXpGFib z%XW;Y}IK7?3q53?=i%QwmEPR)H={wf6GJQ|1}V%^m$ zbBSzM3x>0hPK3JU*6o^)UpoZYmUE)7>9bf11QIhS;vGW9$Ed2`fXoG^iu8!IERe@< z8bh+vN~eX7Ut^|hPDrfj8obAvFgUl4**I#f2=cGv4$M2#F3j`L&c6vSifyJ;J~G_u z#}B6wHiXM3wJgn|2rG($SQOIth#x+M&6ec(G0aJXvjAH=U@Fg0*9PGGwRCoS${v1D z^YdS;VZ(t$-&JNAvB7*(8$H&WSR3C7-SP43zi|Fb;TJt@ho2Wq=|_5}vuHy2`1JwM zF66%$3m%QP+#3GGzyf-7sNJs5RPZZHCv_z=ptjHzk1o=P3udUJREZys8ebNWIS-kk zdf;DMUOja7Z&L_KwchAoh0Qj65J{SnUVim4YktI08*Q=3jf0VJd`me-1)(;L|bIPh}m(}t_1j3s4J6D zGHW2b-w9u9o&Ar=m60m`byoAnbw)elbRq_lJWK!m%(ejkDxg}UM}VKQE0P4z{~7q# zN4qF7w8gLAXr}w9L!#1Y2K;MR&L|8lvW^(ByiuyuZ>%=HsV7W>YR7QU(wZ`s)i=<7 zyD(-fj|J*CzAZYX0e{Rm$OgYpG#g;hj&aXG+|{pPod5cV{+lhZtrzJnx%ibx^WZhs zyK-?UGN$?U8(R^*#9n0z1M_Zn?#7~EO@I7DS>a#r&>OIax6f$j=((YuY~z%+j8Dj( z!ka7g8^(Q%M1L+$iu;?}M~%Ch%mtXdSE1JE;jY z7M1xIs!-&SiRdF3*INcK?%Uy?8E3PN&h3XQ{A<>FnRlbt58_xW#7=<+rm^e-QXi=%24I|#utQGzG!}+J_ z#B^5)qhQ=gZfKYaZxqL6lY=6|K>gvxkQ$dd!XO)VhYrJ8da{I(R^eBdvz6nAu&aa@ zCI}w&)tIpfUF{z}|FtEw%Sm(E>+wv?iWWsTZuIpA;)hS>u7%9v8iWn6E!8Di=3EPkCoA|h zZtNdvo5s2)#DGZ1`VYqvLQ0F^w*=yc@6e6IkwQ35$3iz8YG1FRLHlx%!YUuXO8SiT zIO7*=osL3!ImkvYW#LHxzYssXWsMg<W>x&~J9eQD*7#cb>B?PqA~whe z!Bl;C8NWh6wAHap8Z`_Sex-`>(Ad?B9GQ<_HT0PMN~UgJeU14Y+k$zo=Q>6!14Q%u zLzVyHZ9{WOIK+X(RvK_%s3Eh@zh0$(ls(z{9pdwJq5tMg6!|Y3g@`s}_W9Q&=Pwu? z0LS)Xdky0k0>5@7fM2i3T%iz;Z?2Eg;leI5hLHctA(LN)U)H@wi#q=$AGPAPaYyew zcqu@%3jdlvME8o8tdRj^KT3--@g@}@ZM~%`ekh*KboD}>Hel|GY~q_}p#IRtlM4R= zo%C^eX}VK^ot3iDsPHcs!Y&hGRg1={YhEKa#%ReU@k4|Sx$Kb7e`&>rLVa9pCX1i= z^&4=FB=l>!Xfy|;HC(?O`UO@}fX4ap!)7|Tvc*aNx%eR6*W2RMaUuOSYT?Awlxs7& z{_u$0YelBQE5vhhZ?rSA5Oo=!`Z-wr zhdi7WJc`pM1~}5l#uHB@p7{KWP3001Da~CDB8Eg*c8jHR{d{D)!oTjJBYG>ryI4;D zESBEgP>At9To#NUh7#;GIXQNpa-mqME|2y4YeWxV)l2SLFn;YtxmUB<^ph z^DSD>cEi&zu0K4)`Xw=BD2R=NU>2Z0Y2!q5nScF3Abxm3+-x^Gt<$)%;3hjdUBRz& z#&~{78@!Yz8|!5>0^{=I`VvY^Xi2+|ZKh+MCg9hYv2P$Dx5&n1c=y053lR?sx>IoH zd8}rvAlod%AfZbHb*IB~Mb62`t$sKjF_=7l&-@3lGh+_yVSHdqsADv|7u#`DkQ#&~)+ZB-UcfMC^{pd{Jk^@sJ3!;G`SHO6>*V z^RG3mO{;TrgwYEZg2MWJN1b9RPB}B?)z8m_7SWJ=s9Gy7qeJ zUy2tn|2jd*G-y`@&PlfuH3!FN+Bzg7mI&}K_AWZsY11%+JsFJag5AxD%U@^{S7dn( zhik@#8;1l;oS+pH9UA<3{zRzH*7I6D+y(rM&DlXip%#kUs5gdwIbn`nAfjkDXT&$% zK9asGRE0m6n%v)CR|MC^V5Sv-y%rQVaCH%5|I*}xN-O^k(?if4BW=Gz>jQ2R}H z4yWKB!Yde#aQ)$N8!A&+^$T&_K9Jv9XkFp@hiL-a(w`*MAM$zgZi?#Ksr1aPE1KX( z1@Mcv@z#86TOGS$4UZ4D(;0~w%ZG)#$N2sY&iJJBO}Zjt#tyNN9!u`}Xe1Bcugt&1 zHhS1mHv9oX>D~5PAR35R{O^&$w0V9Eol{6Hnd|T1ToTGQjHdha!lUt1x5oeNfCzCH zRllLCc_pf-wo)qIusK{Wr$NLb$F+_tGTdj!)qHF@m2KRi9dkp1od0?Z=fD2w!NFH* zmG11QVf=ztGJf&6un(~z3rjX2VqdPGC*apmY>}~fV1;y(3o!UnhfR>8(H%SL53T!A zp$+S|K&n#7W*CruyYTV!9MM$He+_*Ar~K>{)mne8BX}9tW66!Z>-04sV!EO+JOQ_KF zvvvFPRt~oAR(DMcbIiFM569R)OMk`tIV(#}7d#!>7eB`JB`N?e*f>H7N(+J5rlvd2s&*!Fw-6rdF*W z-8sz8E6M%|90R#p)Y$toD7iG7{>b9WbI_F??z$hE{}928 z>q9#D59z$K!l{2m+bGWyS3b1iuL*HEbN zFN?P5$hp9$HMmc>AFB5tE&B1;zJUTMy)bmVi$gjF&IPFa7aBrR{Ae92>RtVkh#zjz zx{ewQ$6K%{Vc-`knNe4nNBCU5r*QRzc$;t@)()c{fN&p?6Ph-<#X+0-m!|Yf-5(`y zVzxCaebtm0okC6y;q@ztCvbUC5pz;98T@9HV@ue}8& z&sR}`9xFB!fu}z#q9So$5Wl<|lh(i?E=Z`=Y5HqB&h_(w^IuQObzobye@s6DY}MTZ z-g{794|Y@E?b=Mnul=-?+148R7fOyKlB*p0AM_Om^&38Z9rmKTp|7G=4Se~G_V@HF zI+%&}Rq-!2gP0b9<7_fgoXf>j>Rfh!e|gnVFX7l%i;VY)2`EvE1dzSy>X&za6f?wU z>4&mVs=r74gOV9fO0IsX`=e}SQV>@+vF=H6%6fu+(1cYE@UM4G#AMi~eN8SIY6O1K zxzLI{o>cgkioC(Zl@N4FnRT+2{oZASCjtE8`VE-(Q8xIiBl%TWxI))dq>6uew?$0? zzjkFBIKP8J#B6o_hFfh|i(iFeACIGt*o1>B%t2h;;rjWawvqb|@2$h_)j;@7gL55fb{jMN5h)GGaI27dio z=RWuq0*R9t4*n_!2RMT#st=$4;<_g2Eebhuu{OZgD+L4+DHo{U7=_GnmU(DyKUplQ z3MATbQ#|kC*PPu*`JwC(Lk`uos3HFhT8VMxgYiRHHkM~MJrnBsB+FxC=Cf#2_?O}F zuTeA(JDn^%#>E-27hQYk)*mAO#cRqyk7?n?J|iN7=f99Hm*E1`>bMoV4`y(RzRnhM zvJyXBLwj*rc?;}@_&e8JjMJcvV6{FFh#&qabjrc*9oN5a7Gzyt>}7Z|K(q}2dYf%8 z^RGuG$~L%c{#ONr4ex;+8Zo$eXK>Sm{@cY@&=`JHfvYKV-KMG;s7|Xdu!2&`|h)5ArX+50`cr$dOr(z=sTH zuy7X3tj>R>EoC#2RI;Y)*tQ^uIuH7F(zUDZ`7cBvAS#{6?Ry2Z>jIq*C6jpK=fAji z_lRo~z$<&XvxR`RiN+))`LjZZyInBVY2|U4_vCbB8c7O=_WuBllKWX)f4Eovz_|_f z8RPm8o8?(J9*FlI#=$g`-y>^q{)=22PMhYa;_cG{SRjnOP!OB@aQ)#Eq_TLN|B5pN zj1xRz8;t<}!me|+@wG^Br~t>BDnx`q(@I)~-^2BXs?GtTiVNj{oA;y=KV-CWFbcj7 zONFYsXm$vsCXoMn18CLi&@{qpIT^FU2qu9u0(`+4%lLH~P-{7q1Xb*(HXCPV_0T?o z^)rL{FXmrqj@NCrv9WO;KlGjWm#o_gW&EP~e&cBCz(h(@@w(OIt(;AP+=`e>|aEJU?SKxPL>m z&fstohYe#AYJMH1Wk;{JQ&i%Iv|oc`*)5{h0Bw-y4 zuiKp}{Ia@6Bl`wlx6a9B66vWnah$&9AQA85*LK<;e|VJZdnKP0!nRosqVYuv2l8M4 zl@1QKOd)~uvV25dYpLSNAtsM$;pe|bXukswg!ePliW|s(xrpZD7uQU7$*qiE=V%#- zvlh3GBd!$vUI4#1T1cI2;=anbJhEz9&QfPf)ENxm*R-LcSfD4O+iuLS`knv*??dID zpZ{79-Lb&GZfyg8sUuz2(x#O-n_QLudQ6Y4jpK}SeiK}``U$b!egF+)Liq7Ror?CZ zOnhFfM%)|6S>R6(Fmdk8#@Ckh%dMa1u%Q;Cu|g`|xLGT}KBs7nQ;8qGO|woX4U zfGt`hS6MV;cA1fTEBIwVtq=uu#1b^1Uj=cKeI(N=?Wq8MA-{~A0_ztWka)7bk@olR zE?M36x4Qm(A=HiN0qV!P1{O$y%2p=!?ha(12TgRiJM_0P7ouaxe?bRp9T&f{ z^PaN?9n~j7P^&T2Y?tJIdm~pQdhx?U^Z%QC?j%>`A>D9dA~hYI)qVvchQ{GCehtxS zc<;&{z7B$k*iKQPpeUO<8T~c}sPIbyzhL=CBWJWXBpdujcJ-fSn^~&F4>tmLAWyOP zxdBB_rr~eo%#II)cL~3KBY{30BSvxHw4yNeMe(}5Wi_(WRrrN_d$5!!)#f$DBshei zTt6bE9)3y04{`L#g)JP%b{ZLKp-xzX6Dk*nWBzhwL>RPbvYCXUa4^~P~_+Zuxp z$&3SfYgO=TkIB`B@nWn)Y}R9^kRRMbf9Jj@z`uAcfM1RDRDS=RTlxH#TAhMc!7m?| zEntuQm3uDWgAUfhj~{Z}Sotk+`)RW)9T}>;$1LL)uLXRGY0*i!Z{mQ!fW|9`as5p- z|5E-&E%hI@k}x3txB~nO=f6tfFNX&!_h7+A$^#UE{g*&Rvs#`asrQrP==OxCqANI#dBN3LvxKu?)LG$rzC)gI^ z^L?8+e)y0R&y%LZ-nw$D~}`v6wO^z zAHO)K&;|ars+nH2mlSXvDFTTEMwY!4zoK&PsismU)zmqt>;@cnIe4%pIveYJlhe^mS>}l#|MGZc8==tm3yD1Xa0CwsQjQ-2zm~(K!JPwaWn#;31=kZf+6C9O z-isf4_ixzn1{YF)$Qm)s-ck4@Ew+(?%zbQoQ9rMH{Iu;pJ|DN}o5Jto;}_2=^W3mb zN9DfE#(+F=Hy#xa?Ph=8MhVRuakT_R$n32}1;0Wwx;o8m!R+i433g795u?Z@_iuDC zY{lv8*;d&w414Hh#Oht#QRlzdW+qYAD=ql*mcXu)R_T)aH>~za1+7DeF~^Dhqq&eH3lB_omJgUgj~9Gwo}m!Yg5&cn*bN-ce` zb#bg$hh&~&EB#{r<@G`RhTK(5b1ejGC3bIse<{n)vjSL*i8e5z8W?=<_dBenP@@3$b}`vw^vjlxLZf0sPW&Z4?>9 z7&6|IMndgX3zv}eVtxYnb*{ftB=(@sd0LuHJfi)CUdr^`fjt($uXlA7vr>zag{ZT* zqz;y(Dt>sbziUUNpzWa(%`0pa=d@e1_KFOUaiW4>k4x)NI*$5;u!G?+F+4WBdUdXthyq)ppu zpRkrYQ4m8oOUq^8{1@(zdIy>T+Vzr+&9?dqb&;>xvm4`@sLisC;`$9PM_EG}&(Df% zV-i3Hq%L4w0sK0EXkm`k3SmQAIf_6ciAJD)1EnTKz?NI;Z_JAC>IdaImbrpo#{LOw zQ@mO5n(nsY*l$Jy@2QF(zN5DmkvESkQ?N1H`XIeY`(z>*Kg7;Ff?DfAm^ga{)yd84 zY_B3Qz`xFpCGCw@YPT4-p|OJ?dSyVG5e|OLssI4A*kLL5`66jitTM+9QfC8*B3BAzkXg- z%bRfdRKo)@5HZ+JN3C6j+Hc!lY|bzTMO& z5olFoFY_<_Dvk5Y+g(UnefxrN+W-eBAWtQJ$Y`~iOY03Zm{-0Bewp{6Y##he!4~jc zYZ+jE3Q+Lt=VAS<)`C%jrW~;bQGckdC9X(>-&z3kM&T4t@zTcfB3HBz~AGU=+jd!WF^Ma6*>H6~r%Nv9oak5b&gh zefT`~;j46$b18o9D#UlxP7&(N`xo;60Tt4RZedrXBYRb`x5N4YEmD2l zwcz+6!afrEHAY43)p~YL21t3rf%xGQuo96xTpA9}VEeV9I&=lg>}VObbzb~Xf^D5t z*G4r?0FqFc?ciebsoFm7`Zo1sE*rEO{Lf&_7Pg88otv`Kc30eAQ!UmW|& z_0CEZU~vA+$FFB3JcpBz*|8aXuOjh`gs;v(_KNEt;{HRCv(!m9E|UPw*^a;zl7NgC z0sK0i2CtObq*N}%Zk6astyZ|BWG;pF&;^NIHv!mUr()YLw9{y$vYkQv630!vr=Xo8 z6w*75yS0eG2C#$p)g8)7b=$@i{hdI*vZ#I40&%XwuZ<)nWG1WyQ;+&UMxT5o}0AI0Ny+!`4Mx-RSbRjRv;X zAP?|K-eVQ~lE?S07>{gY{92cCwrwB81tZYGAbxGN@5eQ#xFTgW_-j*O;@Ie=bTk6^h4KbFvJ*8_PoRPQJlP9}lM4|8@xv{4 zhaEp$w$DZQQMjMB4;I_UFI{XxA7#U_$82@~2H#c#H$ z^y^T=ouC!nY?g6nqidF5!4}wOVQ2dFhd<}zy?veL=Y9qk0x}o1pVKeokM4x<75swR z%)F8zpeAMCUR;HUqJ8Q8QCzm+m`Uda|GTV^Qml?@B_lb{RL&)@@&yE-< zCyzak&R(GPSOvdWp2W8`gqUo|{0&@qf+tx>SivtBwv@~~F1LYLcd-7D#w_r!`?Qid zV`EK+u%<|aasDfSUtG3f?Cw>zPU2?gDcHkSW@G{U8q9-#1+Lvd{)^N_Hx>MnD6<(e z_}UG%pVy0V3;Hzx1o82!NBkrQ{&mkFpI=t6^>Q!j1_5Lh{Q7SN!0`*62VgFgZTX(| zGZ>j8yl{d17pxzDZOXg!6Y#igv#qEHkUi`q2k`5Dg56jzRDRh3?Rr5#zwB@TzqTrb z1v?(6ZMK&zQ-Q=P{2FjD_9pcC7Mph+>!sow0sJ~Fj*sEm-tF2O1oP!Y{}RGK=VERk z|Aj5;z|A;qV>s?XFbr@4;|k!{yK$J5Da|8dBWU16vklwgtX4h}@?QpE%L(s+S!VmZ zB?m>r_owg|a|HMoww8kwlG`Wj1`^#q0sN8)2W*Q2IA~zgB9N%KjE`Smg<3%#iQ({C zY%6FlVSpG#F^FGaTMqEcli7h2=&?v2zxbLj5(0amZ zeHnDAB!?xg%iusgPLgs($F%Doj@69ICk$&PY=R@Dj&n6*Sv_XU5~^QHb8Oi3%bSF&Gj3&R-Mu66+0&_3kD=E zk#}HUqQbvmx)oYI(>o{&CB)}zvBwGyd?b&5l|t9hlhRrT%g+$d!Vuuz--}v^cilDR z`VHI%!e|BjGSKLn8xdSd7|eg|p|{LyN?1YcLo+ps#<;CcCKdzuMd#B9hZg51)P9Z} z(iSpaIML-b(hb4AK##J11@J42-NI-UM*d5pk;7)2l}ctGzg|T> z67pYb0b9TV{~`|igFb$_^@pgA*sfhC5kI^WMrN7C7tzD}`h2;5RtizN=? zhh`X6@Ps%36EQD-$oC(rXyGTsJPEa02~l-|_s%kZq8vX&{XDhuy+#XYlVIA_i)d;n zwh};Ai5~*3y6gnc^CmP9NL&b3g8T4IZG)>{s{YV9lI_fgCuNk=E zv`R8;aifGUa_XWhs_@H;7RG6SVhmfa(bv%kmxB1EqJ_(m5reZ-f&qC}C|}(|AJ-2L z;p7xY3;$gF0o`x6Oym3PUbfT;tZW6pu7OQZ(ZUtt$Fj&}^UuUv`bU_AndyDe4#jTTHv&CFF@pu>5}g#twP}_gTe1DNNggq?hPE zToe$_mibpRfq$9px-n7PM3p|A2+ImT|20OSCuB5(A9}DgP6KTDt#bXwA-0(ke)#Yf z)^}HCaXgJn4h!Y{m&Eb#b8v~HyS3Ma!etl`I%lbIdHCh#zfv+%g5L`W7=x*N9b5(# z9i?*phScvt{XEXuO^Tc8i9C2p5g>&XRC%Ic{RaF)!q)NviGe;g+f}ZgXW9h_;Jbz} zoTT9B9Bigl_79Q&3Snze1nGOK$jtV+P^sT=qlN#$25cdS!BG3QiFyE*d8*dqU&wzA zV0F%5y)GuvcuVv0U%~jHOS|3?i|ENL#`PNxBo3ev;9nlpE)|mYuLS?%q=_edg@3t2 zPKtu1#-2pH&YOe)|8j>DR+q3j+xP$%KY`;Kh#x8xE^7Z7zf!Q}0dzHfspSq|i#`he z-1h(!CMx)K6Z;a=b{p~c1vF0Mivv7)D){A%;ucLJbjEApk1G&AbZ^@b*I1lXs{gP{ zY8VRw@k3l2#b{+HAVb5;e+Bth*}J%qRrMQ4T$Z0q)Mj~asPkVuu6>#%&s$jTeb|uR z1_;Ctm7974YON0@;rsph00$DGJ5~Hk$@~>@B^@lblyGdu4J1P5O8n3rdr>raV@Djo zThpri7t=1d2j|2-H0H()wi0+!;a?twVgAMVMQ{r}QWM}`%2dLx>TFJ7D%;3=62LDH ztyB>d^9$H#YUD;4ziRjiQ;+&K`C&L7IQCV3B7FRUKTYSOWa3JT45UdR*1fk2<{-tDAutf^C zAiVBjuF_?`f8HH?V;cq-E61qrklQHBoYrF@L-tx`uH2BiF#E z3i%BK5*qyPmEPE&%7Tx=uat%rXoNN+Pi3t1KI{XYE&C%sYEii{OQvR%`ZmGGe z|FhZ8G|xX)bC+}*S9nhxZ@oTpi*}cMDSKmc!!f@R;wS&9xxty^&htlt?@=%O)G>Xr zT!1&vbLP1EMWUi#zM}OhMXQnSYlvz?>*U((2byc{o3jp+z>S)9GM)W&v)|_UC zv-BC7@2JKnX&xH1Mm_O9>m$9C#kUXE8leHzP#ZRj^}-Wbmbq8A@B|^(0o70oXJG~L zq|&Db+GO2f%*O$z{!H>yk@>wL`{*6kJYznU$EAfb&K=45k(yP3hP=a?Z`9c3alzXs zQ>iaEplB-%);L*fh00w%(knuje-^DMt_ckeSCkvxxSq1L zD*a-$3iXA?l*W}mjPztELaWGhyhgJFC^6I%zYnXG?dumB6V!%2>WSB>CWHg+eRJpO zPjMr|jhe-wv_03oTqJ5HHaBfI8tWk}{Nn_32PlotOr1Duccf<03 z#;U1c!4)1lIOR9BHm8r7i-)$Z^BR$Lt)}fXC5N`Ql)F&R#oI6bkBe_#yl{EL@ALaK z68{ul_T$%!`!{l9d)mRd2_FeewG{Gym9h@ zvp3z7J~nsnj&e&xQ|FeGUdnovxOL5r)=&b2~)*}7Z{-(m};W?K#JaG&sLdtX{ zLu)Q;*rwYHa$Snc-V-|n?c^WB@zuuF7U)l<;rG$A@GfqlIr8#`+s6#qB1^b?0L2}b zH3rm2k^PYXF8cuOH5}I-+UQz^%gS8%Pt=QS2*_v-^yDuPt!_A~i~1}dMlWqh@3TVh zh0C6YBHj07LcJ9@zU)2a&$9JQXdZop=3L(Bbw4XjwX{;2S-m-QdBc4TrGar(xapUmaB%s}rV z{z!Sf{^$B-W9;i>a~9fk-(?Ny%0nN?>i2}Mp+A&!N|!atdjsoLh08ubGcLRDv9VrN zxaDu4aa*A)zD%)lF{bT zQ0>dc&Z z`bR=@-lI`ouYfNWT=Rj-T9A*z9xnR;jfVSCSg((1pR`|?xM69-_8<9EV0pR?0u(h#yOIaIqD<%Zwo_j!+U_q@YO7OBQymo=_X%zaS+(5iPJ zZ%*&WaNWl0_pl?$Pw-d#gFf!g4Q^_Ly~icCx!~B*sK3b?|BbhF6*H8o=Z32rCXA_{omH*vL2N6i!N7T z|B2t{cj&U;=XcCcxzBs)a#^YWC%UYxsP`T!kKw&0sdUzgv@ z6#Rdy@0G>JlQ>iy_%>+}Be_h;b!8F+sN-k*W@XW;!Acz*`|HP3+Zm*6nL zU$Xx-&%^s;e}4wvpMm#h;QbkRe+J&4fq$JdfGj^UI=5_0lEnWBhfeIe-)APg{xwM| S@ZWLO0seoql9uvb@_zvy&2EtZ literal 42175 zcmeIbeRLevl`p#MR7oy(x71}>;%SH>rIsO$X~}Jg5XT6)EE`4vPpl-&3^yxlZbN+Y zd}lF<$mGrQdxtpHEK`o|pcuCCQ;4 zn*DjIv-t7v`7xyW;NQ{4)_>0{yvG^!Kk>e8r24e({n{A+3vHbDd6A($`=v!GYNTq? zB!nxeT2hwcbi1r`DYtM`_1Uc|(AQ`oMO^*sbU`l6N2m1X=~daDkB;d{>g5+n>vj3K z(Q4PMOSjT@WUCv_i3u8_m>bRt+emVo!K%Zl?UW!hBbE{bH=K-3Xpd0b4cj87srR@I z|D-)mt;y+|M1r0qeoyQzyl0LZZW2i&#qXhpSL7MDGaGqHzlY9HryI@b`{_6G2{$@C z-7?gB@>-6j>`ocorJto=(n2>{(9VhrnUA^ANqq`K#gAicl|xS3U?dYx6yGyj9HJ37 znhjfq&27f2u9d4?n@r2=&z^9uF5!)9OS%mk2tWC%E_!IX{Sz}OBL299LEjh z`43GBC=-8Icl~qpM8;k|D8%97*q^ieEsT8=-lNuivf=x5-kFz49A7+1PY@O*tDh39 z<%q;uEbzXooAU0Ixw|eZ^}I1foy0s9Jok*sAHXUH$ z+q&?+OVSr;)mSVQ%FJ9&*hoF*`nqdql>=d;u*u^0G*%h3%7{5w)7fZ~=rQZBtU((H z>qWJ<7N$|9_c^v3suxRW8zs20L4BSelo_F2HFwjP9I+#Vi*1d&8j-rKxk?DP>T2CL zT=i=@=Pn$N9@8hd&woDm+xtOt>ER z^eawV>20U8JG#LemlMtCuc<|WaM07QxV1@)rAAC+K+jWgerSx^2$m$|=~vu{I}fIs zGoh^Dz0oSote8WqDJIRlSnaJvyy}lAEp0hH>Fu$+IbYOLzqIqFEkZ^8y4oI~rdQN9 z#(o|*zanPY4>(QZc+ar^9`g$Oh@6+NS*gEFzmp5IiOKK+IwH4c6L;!!JpD4SdQ?t9 z^=co}mG1CfofM~e(`NL&o_;x1=jlAGpLwpolVA^1(WCl%^ehXLy6dgi@Qh4qhto7_ z7Q{x@FDKk1PNwc-Atm1M=9y?C?eKJP7Pq0WBgScfg*%1o=~t>Ac9`sw@u$QZ=vOO+ zN$i2Oh42UBfVb|$(67@nxi2!+&o)!p!z1F5gkbCbcS$-yrw4auqPc$0CM?V8Cv0Ur zvsfLaUz#;V=XsS2dI#GU8Og&`9-(#_84uGE)uzw*k~QMSQgJqePtsgorYZU^T`$dX zVLKM@XIZsEJ}F}bGba+plSZp!X6hzr6+z>G1-^cv-3ZyPnG7kL$@(=x-=jFU@%4+$ z71%ay&eDRO(9l)Zue`T^GCJu!{9W0r3iK6vnu_+2=DK=;&H}CGj_Z@vz1+sF@=Rsq z9bT`2n;5?WAS-z5C9QMr(<|prnC}6<(D{6LR~-aug&^|YJOjUGZx;zS4m+%GUh(T)0>)_af5V;`&Pq_x!S(!Xs^P&?rG_6~7E z^$-C4j<**1s-@JAwSay_XdVHV=Mjv#mT>hX7FW%)tzAtI$fo@CmB6nb$?NjrZt+Xa z2eL8mJ>6!+dB#e*ElC~tH4XTc)Wmc-~w0$%bJpQ>R=8Qve`?Dah-S#%WCf z*=g^)y2&*P)B)I93|nU^{5r0T-ZTkkDamVLTCd3iTH7L6QH2E+Hgm7{UD5!48PJsV z=~p#4R8III@mmQXb80gpU&OE3(5B5!cScOu{84y)^+ZMq8L{8jFQbO~9osSM1%pDu z30uApvc%p1zr)fI-Te^cYe4N{n5+t zi_u{g5O z-&frM;Tntry|KAJcKuptADm6X?=({ss5N$wzRSjpY)F-8H69W9o0|#L$CjkK$LhUY0%1OLO8BceK z(!o9>H4D4WojxF5ftqi2Yja{BETkeV`67PJpDc*F3{5IZNn1-Mn zTM5Frp7e%uLiBrf!`CmWS;1=MLYpRxGX~smiOqJ%187%Qb`|(#0j=B`SAPMR`(gLN z-kHZd$G%X)uLA6GJ9|w@=NCMjF&#jbZ=Z}zVWho0&(bSB-H2$k4G9G(;aALw^GPwS>~}d%Nv9bJGyG4ez=* z#wF$#@vEBd&)6w*1@P;B*)%c#PVusMKwgsvyD_~r1%A<9u&oHD#a6;K`eCfsd>05? z=jj*ltLr>19G#oj&pK~;xO_|~B36c9uhBDdK`Js|PtZY$apm+^>@)U_hq0dn{2HJK z;4p!IMbP;s*Sr_L-_9PTaV7i$=3d7={4?|!?(WybOZLv}HIpEZW%%WfG=cZT+<1q0 zjB!PLJk~c~?w*h{^@=w2lqH^dJ<1AxAnz6v@z>^Yw zv4vDt^fmcC2pCtwE|6km0e&qnTH{}vt6)xjLmf*&D8sKGS#zE6PsB<3foc;<;J1`j z5Adtr`l07G|CAmj@UIMmLelOw%kc}N=(MAQnAH=q)589-snFF%iTg_U^(vimI(wq) z^@bShAo9(^TD^EXdaNk0+iv`Qg27ikFAmY*kgX6gYAYmRKl;F4zS=W z;5!^fz@`PMNkaH?3BRtUcjdNxbbk0jD9H9a%-7X)LFV$2uVV$(k9n2n_d-+dfk}b6 z08gPaPNzG!48P7hDh#zUd?R2B_HZTM^PD@6Ul{N&MY~)eQ9b(8G8(=Y{rOkm7Zk0? zznqPh?=h-2Znz*ioPO?nukiU7T1II z5EB+yKZ!mU@oUCbHRSLPI>CX&RCs*|u>uS4DZ{VB^cz~>Mz?6#S_2FJ5_{|;_923W zEW@v-Y-QD9=jnU~`t<^Y3&zv39KZgFe$Gpo(Rl;3bw#$oW*(w8i5HF=HgD*$ssydg zD(@meZ`^rf3g%+c8X_Sp`Pak7J??i1!-46}P0xzM)%_&a!AGj#UoH6$b=O@@-<8*7 zoBpdfPitkhTA#{uXjUJG9Wh?MY43Q1Bs*PYDLZEcb0N9Ph*h~0D2l?BF`{Q)_XnQ8Q z^^uzZtnC-$7sHmo66B2CFnVFeFFdKhuLBvxwVu#t!#8gGd^E=f){7_x_;m}da??(D zBl8O5jJ5P&F&rQf$@Zg z@2Ea2KeMsH!>{lRo*$aC1iZ4oTeZR1i}*FF_*XPDbBpn|^H|TcjQ$Y@R_;&0zqZiZ zCe#W(f6=L!FccB1z^|i>UuivWtz-dyCN}%_3jF%G`5?t)_@AIwyQw(?+Qsq1W(Kl= ze|6jI1h&@vYM3}_zY|vRLxLxqM4KMo^I5H4+^_hTI7W{-O#(oM_#wZijDKBCFEf5I z?JBUq@x#M%UMjLu5BS#rGelP(=|90jA6zW>S^(&|CH!hY{BWQ>4>$fiT>uV7@6;K{ zlsydaYdt;2Y|BA^5F~WMJ*#hEVCDW4@ryRnV?e878#la1SoRvTDOHYNqh^k>P%GHX z+_>EnHsQz)vX@fAuc|@x5cGu2`)Rjr6hf;wB$0`Lzgps7Jg(?d+@@PC+zAt?$F{DB zUv!f8(@hBJz*L@x`&7g6LogR7QV8(N_<|U8L8VEM783|k10I@pJ!c(R$-G{CRrup6-Rpk2mk8gakr z#SftuIX%Fyljt?=A6Ll9CJfWb!Uaj`LTXmj(DckckQwa0^RxZr1o#KVdw!3Sl z`U{%Z#(ImxhH0SHYxIv&g$>^#WKWKTrog{!-eY4`huOp(P*D3Y&F0Rpq3zc2GwAbi zEy-=%24J_zBgOpuBS2hD!c8>C4VB^73FBYnh+DHuo3I&x*5(udEpRceAb$9i1@kV~ zp4CrUK0P^0@4AXp`}waP3$WGG>DKPRn!>z$8-RISZ5jV!U!rvo=y_PfCi438B0>ZV>)oNGz7+Apq+6RT<6m@^K0HuU(2pX1h|Pw)9$jc$I2wJs48Q1%#Bg@O zoIWE*_F#(w=(BA{YetIsuQ9|ocG5>B(8_{ZZE_(TqwPufhx0_8q531~?`gN{s<@K= z4qXLoai2GxnErnWwOXA2;_WwEhRw7I`k(SPB##|yT4$(M96vlyH_GVNut_g5kSUvx zZIdn8a4G)<9b8D!KK*Sr7Ytj#FHZ>Khn}fi_AMQOME3bJFrHHyVsTcG|1y?){A(k8 zwil@^J_c+m=D##H-CuOVHDV{Bk>zvIA zKD}B?@!ptkafnhy3-d4+zQ9jHQuz6=?kcb?)z!LDOH%@D!Zu4K?}Vpcj9+T(>+2?* z(%9{U!(%Vv7v^~!)4Lwi8_e^mzD{RpChX_GR%l!3lt7yiwDC!CAe7>VYJMUE{dqA~ zTGKYFrE>nYdk|@_VR4imq*e|aPSS3+b!GUqlyZZK6yi3A!STUC*ywTgBvgi9vw#Jy z1#=0u@%7=|lmH4l~ulq}0xmf_b{j&-}y%~&rb z$gFOuYC%u!-OW@a(z8gY&;UfOk^-(w61KOoPs#j;U+T_+$ z;MYzQ(ZVHwTK))q<~CXGa|QpJjr}D~OmifyvK3|g>uq_X1DCHFeI9YqRgA)J zXL}gn7c2met8ekE{;X~~gOLgFOJkb}j9UjRSY6fzF|iw-8WrA}!a4B=xOWC`d7_$o z&}Y~HWvbe`?lX;R|~@C(+@!>V_T*z$Qh!zJAGc7VCU6_Eb_00;adm$ z5Qogx4%w#HP1JZ1b6aQ#!|BdFd^>HE ztrO{+>SEq)sVb<&xpS6CNZpoW> zZoY#4LAF4N;IgatFn&D)w2Ib+5tA`H#%k-r5%DYDV`cp7De+;h)^M9o8s{LaJqCaN zm)^L>i~MVnCSk53JNi?I?X(rbJM@m?xB~vQ0e#*iV1EYi5&T0CG12EJAPe}{-PK3?+OoA{`ep;POGeFbv*R1;ApiAK;}qhDwd?hN zGtU_v4hlK`P5MctfPcM5FUs~*WJCA{+DA7pYCWo73-DC{9mEeOtwCdRU(0={MqvCh z*RDn&ahQROg8bL3^pKP78Rq;KN=;H%T4Gued`s~|hq>qUjMySpIf$=v5sN?efPeiM z-#*fl*f5Q0S4VGcX7TJ|eW1)kMYUD3AaXcoHDJvXC|8a|NiC0n)O`i<$G zR%@Sbi!rB-YSO50@qLmYe)w@`^(W>IhPEQo{-6!IlrJU14)R+#NA^kNA`{6u)ggnV zw8y63AR?A;H+=qOYgf^L)}aa()~}#_a$CM; zEX-cz&CJLS3iVxxABy=Y?92h(W$<-s;ru%nq_PP(4OmLmPsBVo(T8m_DLYcI^EcDeZ28OZ>si2f z2O&g|cX(WIsDhk$k$+id#n>L?iQc$=2Rl@w5jm~HLx)1ZzvxR+zOva4ZJqgfdsn)3 zrTO~ed+=S#J_q~@IcN@XXVTx*kSudRMidKR8=lu3o@kQ{4Y^vZmsq0Z;6s|kg&dl~ zg1u@f&}cawg7sgMLtFw|YfnTX&aY572mYmWIeQg{4R5BXqZg2OSm;ax=4w7+3GnL- zoyB@VPuoGa7dA%r>PdRm*j^q#v@l+qCT_@1nD7y1+idx3%HoHp)@riTjdt3U z{bu4$Qx}6!G$c)!e<{6i8@AeygN?#lo((-sID;eLXbfc21N?FtUWcEVa}rkAz~1Z> ziFA0gxNmRU82pVA|AMJpC=~_1AQsMsFY(pEGi>=Q@?Sp(T19gG2(MY4pIfReo{*=U z1qJmUn`e>ZhioqBe!%fyrZo>o@wYtEd`)@%hMBPwbe$m(S9B6NGm>_J%W>u)0e)Sn zEdTVC)m+HYl0|&}CFqXgUuF39JatHf4NdB&j?sjs@3Y^rk^d^guU!uN>b3CILBv2< zJRj+19#?>0Z^5dMI0*Z^#`81C0UYrhwT;zaKYRMsJ!1pnHi#CcoTCg|qlh1lLHHg6 zS%6=EkFNT(3w?eC`1LbNbp`L8j|PmGwFLP$)6JK!6qy|D-QH7pJ~ZJA|T7QfE0 zh4^9r8GAn^*MBqH9OS=HHDoRnQMbP^8~HDRKJUp)(T!9YKlHOO?CQ6TMR(|`F3ycq z;FrIDCJYvUUmp>xM67pCMwI8j=n= zvaoGWf$b}R5Xf{17w>ubDfM3VcG8>DVgI!Q)i3vv_(HIoqDP{4)38d<- zL$zVLKKp1Km}`k@^C1VZ9ZNjp=@ab-5sA zZqTn4uV>pU@tZQy2yqsPXyT@QE-^#vDySeif{0p!pXW9dYQ*FuU z8tg*=nLvCsz^^zhu*C*5OL19i#|DS8;y3bV*qP=0tDBOPwc-?Nf(wyF4TCs>J!X$X zzk>K-BmIz?2)oyU<8hY*$Kx2{2dFTf3@4@nBIozotKTS>_1}c@0*vMRmQ)D z*;G<3vl0m7AegV($LT4F0S5f*G#!!f-Z_4FUM^(X^`3ZH-puweh#ziXwb~!PH+{^0 z%xsYWsTZC5trjcPD~@|Sm*`ul@c>oi7~^A^gfy3@$Kjfxae!ZT{TNI^0#$I;NBTx2 zY)f3YW?Cjhs1!eJ_yLXOJ2Aa*c2BvTKZ^|OubT($4hcI};$PyF-D+Yu7?<7A1N?eR zf?%Q|5l@nA>ooAM6Kqm|jdV_1=tRc#Y6nEjjuZm?GQLJX(&kdQfC$Ww1aRkX#Yaq# zEF1B$0KZ!3`;2I^Ist0d8bhu&PH1C-jFj;&-vfz&b|nC4SDIMU1Yumhe@Hb;=?w!V zG;&&k&{bA6+dQasQX*H1ANu?YX3&7aN9VNyTYff-0e-y)^@P8{WgEy>^1>lsDHRqZ zJ$on-Kiq}-jj;`(#Fn9SYyS4((6uzwr}$S;KR*g5HAc{;h*U1R4ZqhHVgY{96ZNr} zxvtBnIa>i_Ln!0`MEUjePQzRB9OaVG?u1~vv}~(x&>JI{1vSkY|6*G zg&FWK=NY^wYRo*`qw44FX-gzCvPg9)ez?I>tMe26>ND6FwY_8IrmfL@xwE+!(EzbW?aU&da)q^ z(05~pS9|M)_@Two!niA3Vqr+a6rg^?0)F}NL#{vkp)=Pp?-Zk&7f2MN7$9;bR!~1b zV+;K#KDWy}DkgaBi~QIrFm8QUby@sS>u}a^cvki2e|%=b0+9!v7UPF;Z3~^M;w32d zc|$)|$9&2`te}Kn_LTg(9dThHeOl^G6!0r#*jNg1tLxar0j*+_h%L9ua9&?QPZ)Ue zC~D&!uMJ1z?{TLm#bN7V-ddbD&-El+(=67{qyEs?Npts1?}@#9-`{Z zq>p>=88)w`-}SZRTUP47%>JvqnQ7P6^lyVV=Oc|c>B3)y`opct6x2d@l(04z-bzz8 zlxRmee(jeZWwhe_7wq9*qgeO^$D_{bW%zYJqi|2`7$_C|!~auk6b~?3mGQ3)HrfoJ zEK0S(M|EFw9;LtFVvitxcwi7noxuuv`i1%pL_8cd2|@hupV;kLvW8&mlpTA#|BLn; z8T2_@hF^4QaDf9;37Y_$3FClqNeC7A^{ha)>tJ(-_M8`j>p&p~?CR8vV*Q4(6pRBq zY73~)&l#l5DJR-TNQ%iSbk&0UMBQxp z;k}pmS20?6f`*L5akPokkk)!|sEmL4aFf#~ou30!Be})b%7cBV(B4tbzkC)sWrBZU zIQj)T|41~u?DZ0Ud3zNrI0@BjtAo38jdP%<^NzKDgZJ1x&&OxT6Z`@6#{J ze?j#aw%}$wq$fNBn`thKA9}DA0yc@wPJAN^gnZL_=#Ir}%JIX;=~~7nE<0rEk;W4f z#(cflU(_#!Ru1CxEZDcffEd|r@x*N)EWUnu`7dUOpNUa{l5zNlF*%j(WFi*C4}A#8 z=;yd>p83}a^0Qq*{4gl3SL6B=V%ev>vpmvRsEYaPsUH~7~zbjm?QaeW1T zd2J>dPla(1jKOXmb)=%R%J8eWrcG>QOaR&2bl8Mf>GqfLFUGHMDuhDB?$#U(+8aHq zomP1}NV~TdarlQyzfhNpWK7~@JSmEJ@{w-+2<=b*w=AB#A-G9^UvT+U{RXjlw@w*A>c1`F7w5mW z^Lsk!tOPxoLLvQG`Hkh#!+L;U8yyu1x?4@e!hhDRC9|nc|KZ zryn{k8Wt|VFV-)ly=;Wno}|P{*ux_dgykoo!~uS>9YeG*3v~cCjYHpGiG%egqZ`{x z{EL(;o7LaKqI6)>a#4iroQw`b;{yJrY$js?$`1F|y864ka1Pchz^{8edzkGyK~HJT zWEScWk4j?&5odFheo4<}?m;T^1iM%iQiU8=YgXR`9dy(@8(2z$&9sF#K$E&$_779x zAim+{zhK9*YAurVplnt(C!EkP;#ZAt{m^HWu|3VO<(>b6KOf-NX|>#l?kXU2Ymm6? zem2vdU%Fy(8UF%)*%-xJ#*;EJ-ZCO0nIStnW^NW)&krBGg}ZtW+j$leFHN@)Nmv!L z_nLM(;9o!B`LV-1*8G}skN2*e>>Z0wxpAA)~5XV|;QVO(bbwKco-sQrv=n@D8! z68~a5Pk=2R;LYxr3i|o(3u3Mt<)WGt&!J=efY*J6|DI0wk8(K3%yoJZevi#<6n>ep zKCeH7deIz=-jIg=Jc>eThubj!+9tP*7sutU%0bak=*euDm%}&cEKK8yI&EI}BtsNj)MC^&nCj>#y_lOP&9sn=F4^z~#JNaK6F( zD<2-OPH}%we~6e>GLLP1Lb)pt64pWO%kG-;^UKh$P1M~hJm1eOaQ;iX%k55;_}Aaj zk7P5$mfz=<=|7_f+@|9=1rXrZ5gYnNFez$HU30hi5)HZb6tbK_{l*Yzmzq`fu{&jC zqWP<7oFvU)w^r0|Fon_cCUcp&$mHWCyZK3gUvJWZEY{uQ1syQ&8uDM7ifajPk4a;> zKsIMF>@&v?`TTMh>JJeltXU7sAK(|-q__<|uC?~W*CSbmn#u9<^UJg0XC{DOfOV)< zPrSbNJ_N5~J=52h*Khc=0#r!ruoF_3IEFKgd* zU@Ck4wO}F^=Fabia1nl)yrv4sB2u@B6JY?dfPc*a|7sb5t(!DFBBuX2`RA8Io_+zp zoE*YytX~=%y*TO*fnRn$9xvlxCPM(wf%h|LS0Vj58{--`mzVh06^I|UWL5nk&VOYS zEA$2Ca}wv5r%L>53r(dE8Srcige*I^*tF5y;vjx_p3b`S@LN9riX5GJqxr0CPa#4@ zo-CC1l8qEoKH}VFgWZ&vX6!6fMXpeMeXKw07vrVh3fekjRGp?~=r@b;Y{k zxZJAk*Kd`l7vYp>wuE0uNjUAQV^`Sm0C&34meTJ5r z`Otjvpfgw26mT3Tz%RfS*Sx`ogMXQsYEA|rzJZ{(x2C2622Hl~#${l=&_+nKnNA_0 zvFfP$jVS|mgV*9owi|@~qwWW=O6QkV*`bUoJFlV6fhpBlLPl)7gkLYC?693R4jfJ1 zln0P~4g71n5gEscuwMQu7yN6r#IfZ}>^x!{S27W6aRzO~J~xQ0w-#J~_>YDvp@ECe z;EOmwR+=_J<6?cbdQZbvl%vGF6cBp;?Aen{s9?mckP=L>X`ivhA#@04>>CH&gzpvL1ER`9U)8~U=V7WWmD|3?D+ zI;yqO>J6=K?PhVdX^1wv7}r^QmHmBLlhwcMtwp|SnS8`a6v9`FBNhspd0fx+ZKh#o z*ec>zLE~dzE&0%$zyjtn`pWxXUUYxvd#UM-qT92p%)k6z8f(8P1-7h{cQg#r21X zTF1lLbg-sNjqhmoME5nt_i+6o(q6lwTl6vEmu;+|mz*}4m|R?jUuPZQm+RX)gn~EG zB;%LcAK=%7Ksj6r7V=tofWGcTzpW!EmrXh__yK+`p;cY2Uk+#HTwATm5>SXJA9rI; zO-4_ZZ}NKwBGSeq6nd`Fk6g>V?iv>(QsZkzt;bXOHm z5ANSc@wm9pF&e~i$7r^BFHL1f;%g2D_*FBiupqiY|2I#_=)cZ>oQYUQKkn_-4ONU^ zH#$*wX1%5A4>{O)ZV>Uq4X7wn`Zb?$tbm#+JO~u#ge>x3IKS+~_(a%)o*m=*!ynN# zE}|JhpZCF0M6{<~)D`*HRt$U-I@?+{)51yG<~Di81;*DIhD*d;*XK_%)waJF$#D zmVQGbMIyr!;tjlIGYq4Co0?|C7?)56hA*6~M(B*~#_Tk_COhuJzJ$Cr&Ca+ognTY< zpEqO13!&EI(ffDBdl@#RJRN^$mUO!R z+ysqF@k~qPzkb0c<+L_|Sll;U43Ldy(!D1e)|S}ZX2AH8P}OU@V*4E>Y}7X)@)iTX z&{F|^$#03)WMlZ3tR-fajwk{LFM>IqWJAl3h&RdS$P|=~oqAYd(ptqVwa;IXa0aYxBjk9$Y_^&1MZK{?-oZMi!OVG{3|!^9`Ze|fa4HmC2GFOIbzhmh|&M7!KbK{rbH z#p9|e=s0d;b+~g2`f+HAaMRKm_<#=`ZUo-+UX4rrI1 z6Ti0m+?ZRF6R+~P-0->b{1>iv!6@h!dSnd6bgFM56P6c%O#p?5 zOZsV7eKB_uADUU>EMiP>@Zh}K|s&ion)y-@dW43zknqKZz4 z``kyfzidL#5c9-sQ6>D^!nDf?VeGUjG)GN>nlS)$bvb^i8$$Nk2jtEaCfs9W=zPGx zws4=>D|ppDW$(UyZANGOQegLzXAc>_U{@KfkpD6lP_5KofyR&Ung;mA=a*ZhofW6( zLG6(YLKc(YU(GU{50&^As(BNxo2)zF42^$(4-ANlT!{@uE8}0FT`jzyj{(2z!R}tM z*T$g_8SW|ZudC_T64|bm`p@V{-^X{MZ2o!rrJR>VmV6En13!NLYpg1#k558Ie@!+^^k-c1`7iV*;9qD1 zjYFFkw0~}E%9y>?M;ECxm<~J;oBQLPUuN5a(|PL#P?((*hd~gsGHk~J{9-!>{*JE7 zH&SgGrTEVcw#g_Cf_ZBy$+MZ!9G!@rUb1@tAH6DIB_!6OB7WHEMqo2TN6dCRQqc0S zEjXv?=(9Z=-e=qc`#jg}&eiQVzWa&mg(6u`Q%nM_{P>21%~Z52heXsWO%bs-@r2u? z%lH@Djj<&5>Sl_|I15O=$Z!S!^2RlDLclB&2FP3(;{p-$;v3nk7-3-#3s9@`h97W) zW6y>$h#wZRhwXNg%MK-SWO*FWK23+yH!%?l@atEI8pS4%z-@Jq9&*D^F#wrhWV=Ge z_+eF^`s?8_Hd(gWFCsYfinTy-vWtVvLHsa_n#9eZlN_c?F?Pq^BoySkAIcTuhtyC2 z`j@8AY1o6Wx{m#HBvWwwwNDMHiUnm{~3-BvThwQd)oVSY0 zLn-y^Kd`W--h0&k8LQG9oYxzHm-`VOAk2FgQ0BYnShB>w9FhasT*wg9sNV&_oG-pP zdmBaegp(m3zfxMA=pSrOnJZUcdG`Y-+X$~rBO|uAC4c)$_=gVf)ozmr)f%!k7B=V^ zE9(Nk*2s75jy>i0m1B17M8}~rSh&$?Z^M^Zs4W~_dvB?J1LlI@rDXI32H5FdRRE8} zO(Sl98GdEJwotE?f*{)%XV^s!EECI)j81(;S&E6h!&>I4Pv&1dtexw zaD%0@*$H1+9zXo1@d(&fr~{3?Hnb`|#|f{C1^6}Fi}vslU}@lLL<=<@eKPJK>gdNe zcGYwd&M(`MLDaL2Nt8w5AT#Xq0=CaV{P0!rw$stGtX|(oXKw*vSr3Qm!tjmGvYsM- zZK&FB|739F?wZE_{lE(Z?v6qkeIi!mU)C!$xCqr616{As8mc7|Kt?_h^ZAzv9``rY z>`dsS2lX2{vuTE!$_E3PSFvv^T_vZqykG9?ardJ(VchFy!3Np+I4Fc;?hD-L*t z95n(Uj;Q9ISgi6`>d9I=DlfzuBdT1yr72mEW0zDsjg;mANQ{VltW06Ps89V`I4 zxIZi4A0m$3g{7>ezC7GQtNQS`+{5R;LS^y8SC(}4M7|aNqJlKfrLItKcGlMC4D%yKQn8g~9sx#!~Yq9A^_ z!oo6vKc$=OK^wrD6R%O8U>Fe_4ER@U$eFX)%v`xutkUk`Hov9EXQRysFwWucG7S@U z-sW}BBH)IYuq6%~k5HBkh_7GFzYd87d*V5LD;+|AfL}Q82|E_el;Vd|=;70}%+-78 zY!${nuDt-PW}$>%UfK&@3c_>%$u-Ei;I|e!Sh#}cAA0p0a}S3%h=tawyJIK2hsAOB z4^y1itKeUI!`WEG>Fc%$6j%~&!E(^&ur8o6g(2%+@a8yMO-6X<%Oh zOJJb>@L6|zJh4T8#hF6g1wd-NjDK;Pqu~=cK-!Uw=E1*EZ<7Mp1^A`-mm7INKWrUH zwWT6>41GjKlTD9ob5);!J@q5tp(@5*q1;x6gJ!5tTf>x ziGJ3(vif<&zqs1)Wx6lhlvPP3@Glm|y!RCAHzG~?;q-w}QYJWl$cP3;_B?hpe!Tn_ zRwuvsESscTolh??EZTy?%#l>$jI=_ zG4s5$y+4u-#X|>ay95zC?b%G!A7W>A&|H*QL+CX5pb7*yR2BHe9)4oI{+fB9JGX-I ztLXFZ_4XL@Uy4nbkBQfeRSqs1(y^`*f7h-4IB-z6H>Q}eJ-!xFgkm$&&+(4&W+;!jrG&~ z8>KQu{AxtFhQ}4j%sgp4mmaw*l7XI{!xQM~{&N1+N)gH1?>DOKP$j|u^yT*-f-`bg zxzDj)*aVM}g}Kj)$9dTqf^;KFY&?i^jlefIfRfL~c&O7wYVUW|3afA;1_aq0lSJpKi>dV?NZas%@(?+G$u z0e<=V#ne76?LoE2SmR(f0{oiATxJZr_gFk_&UPWFCvLb5zx=X|m5=PFQ8{lm^oySW zzsl<8l}-4`Ts||4aOkICQhaMuRzI)o;X8_d@kJa;03%}{bG`NA{1@sWxf`gB?`d*t zSU?Z=qCanV^Gw8TJdQ?uM~7<6PteBo^vmO4uq}odwVr&)?wStU!q#RAV;}SOymx=p zLKo-1&{f%1fSRA6ciH-t*Ux)4b3Hyf?d;B#kE?=z!M2>x&&t#0ND3x}*^Rmg(Osf2 z&f{OL?(G9z6V`4yXE>beI>FouL__2Q{8Ic2cTG>w6EZe7loQ-$vuab+FR%b!LEfSx zhH`g#TyXxAMg8*XH_)GKGuP6zIcvSOP(;JkdsvqP{)O|fNUS{V`hCW4mGLjE7h##+ z0e<~bZf}etMiC-}%zAdfp8p_}z zDHoa9doTx6&LYZ_0ekI+dKgB)mKc_Y;df(zV5EsP?{O>Mb@jbjm}KuZ~9-i4&rv)$olg7ji>XtOL<kHv0CD!f2JaSXxjy|9;rISa%Y?Iu2GU^g3S2piuhqu%KWN=fRfu+i3*R0Q&sZIUfUQyC;ts%sU0J^Y&*2^Y7pV(OFkcbpFhJP(*URzi z-6U4o(!sx|b53MfZ=uuiPB$@J5kHKxrx%)qum%)l9_+KyCa$|k@!sJ0;nT9|c=)KO zl?WSh+2NJ+L)nrquixNZ2bP`m#y*bw4{K@r9f=pcAYgLc6_xAx%t7fv&!TT@uC3j6|Wfl`6~f3XX9{KAHVgP1=l$FIi`HZ<#Ri?9QX zvct`z*-i15+v1cD95iS$L+%OM!rgp?#aVhg7uRFqo{E$$1l72xLJ+s^uc!u<~?E-?xSWPTNm&z z+<)k9J6-d+u%I8yj?IbrLwJ(@C`S}a{EN=4K%Qt2?h~BkLEP(zxaf)nj0F|(L)gQk z`W52G8I)}t)jKuZzmWxymGQ5gJ)2x}&uYHgaMNJ?Q({*APP=)~EL6r1n_aVqV<1}7 z^MBBr{v2)7nq;U~IOX_-N)E{%*uy2Av0Z_C&02!Ap8 zcz2sqJJA2)iYh{S&x75`L8o_`()(s+N1{lm5ROr zgMZkW=S18R|GI~s6!w^TEYuA9+&Uw?27$7{C2i|kHk9!%deYkLnsQytMw=yJJDs3) zCW4=$#J?gyw3rJWB)IwsLf9|@B4*QcETP8cC%Atjk;QKf!C694Xo8N?h!e?{_!pb^ zn_Zw4(kL3tAQwEGrJGm?;)lR5yWNhy+h53@mF+q2B6`o?DC1vGkE5*jz1Xj4S6{3T z_iyk;^s1u1f`8c>(nEV`7i}KfkOYwVepCg1Z6-!@(6`uL;6(x>V?!clYXYA~)TFTTO|&+}>Jp}z)x?QmQ2C`9xa8H5V{m8?V9 zkO-HtbNxn8QD4r#%!RjtcHxg5SO7B5M^gUAcy)^V%=H^*?9N@0k;NES--x?*1Ul%? zPdWdxTK1a%hnSUo%m9#mC9V8JH(bfTvU7(O?b!Jn7M7X`MA^i`}r3lias@4qJYfjXchbmM}YbMLr#PtfTIKl9^+n5zZCxh zenrihF&+*fkjVT?^|_pXE$Uc=`w!nlAtG#ox(LzZR%Q6*GZ)Y<9KcDG@yc@iD#F$- zsJSZT@+#`f@r(JF@@b!xiZhn=xq^Q&Y=L&!%)bJDT8>{{4*^>lzE8L`&t>?9J}(C& zJ7Jwlcdmf5bb0=T`H?su$M956yX^X($PxU#DdHf4yQHACNe7|;Q zO+gRFRms25Mn_s1XRgcbVHtk$nc0M^aDP;@ zgSZWwuNWZ#!53B(JU@Z!4@azohB!8kNAaJC!uc=a;3s_bcQo%ksNZ#d|EnW-MU*LVh{foranZ-WkiAPqZ;E zLy5kR!@Lf+@#BY6aYUp=zuYorj)WqEIa`g(=U;ivLo0J-7hlA2O&*|7heP+uQS9{I zOw?=Q%C!I5r-9m8lGX`P>b~*pz`wtOD0Dc)t zKt`;u&0QPR&-49<%+{64j8L;1N5}&H&n6cqas2uX)E@$^nz*Z1(_^0SvV9)}R3zH4 zq4@FQhw42M;_J)cZ#>72qKi~e8UH#>n-ML<^<3wj5sC7lGjzlOBTH4D|LPzP8`@{R zzn=p9>b)4hU{ZRJ|1yyFYIE4G+KM22yOjT0&e1TH|HA$O$=V3q;Uw1({6ov)K6~-Q zxjp819hWrQi_C|*P-AbZiu&rJezE0mapK5-3AAy5ak#!Ij*9=X{8tKFs}uRJaEuNE z$gpi<5{Wrj>{9*!m)jY>mg@_l$ z5ABno`G{0(ce4dE*|n7Vjl>J#kBLhBa?M`RsG)G(iaWv*IRB-Eol^db@1Ji<)vna9 z1Z>TF1?RtRqy2q1+qi(YoPW_%&f3S|VkuW7_aV#%iu#s)x5&Tv{wTixaM?Niv~yU( zvB&u@gq8!(#)ZC=p$ z4gnNT`uLSn^-=JnlJIheTxAarnf(q3i&eZoY8Pzg8Tf3y=$&xQo#=kBA(Xd{ZZ6(wC5^TUW^ceo&8<@}2l?uDCyuwf%`Io}_Q zYrHzeYpVDcb&e%Q^x5)^jX+|$G_G>~#r0YrMZ5*q_PXIOr!ULD&_l#LKP(x@!k;bm zxq^SGG%mZu%5MqQ^m%X37ytej%zGR>Wkg0Fh3;IIf3dIriu5VW<@gu#lmyJXRM$a# zyX5m%@GnFHGh(jfvhcxOifE|%go^l~YQxk60d0?rs5bk{@vBI?IFQJJdY|2t^DjdF zOVKVl0{wz1xD5Z|b5a|ThsE7KDVU3Zr(DFp6m0or>Oq@}_?J(+Vg%uR@?Q+TE1Xb8 zpL=<4c=*L-8#vazjZ)5>;@EFT?$7hp%kp2>fP*~Yd~)5)pg)!T3n;9==J2A_JX9Lj zCHXJi#U1%7$7%iuqxLYrY2)rsbd@C)8xl0(zlY`B^w{VK;VSbnBm_D=hn z!-J@wFY=v9Z%w`P%N-MuPW@{8LVjl+f41`F%kr>)un2($VVYw{-a>E6L4be(D=`$q}Ra6 zz|I5FJpC%>zoLck9N-SF+uc#(DL&Wp>JMQ_a{8N|iNi%4gt|(8Qpvwy=k+$J(q4RE z>azTc-BcT98Tvk0vWJ!Y3+okX^4i>A)~2XmY%bKAs=x2xhN-ls75s~F2m9xDw9PGF zi%S0G!XA#(H(=*cDjD?I=U=pMk>2YZvp#0j>zrJm3W;ZMnNXCw_L7#BGxA23LxqQKYAoBZ!Z zew%$MY|=E@5`45IRN>EaNpq3^UHhD>l=h$KPcR#p76?v*oVHx+R!@q3cJvhD#5Yon zRKgWhGlyGQ;hCy7S3PlUOSRe8Rh8&+qZVl2->8o?$rK-{#Z7Y1g%l);wMgVy{ffEvL{vFSXCx`%6mek zaglUzOw3!i4eke{%vZll;{->v$m0O^`Y;b3I`bFXrwMt`A zO#2Gckl1JHr&_y9-kG|hvFiRnsPdmkzsW65Rj>9r`crCfmGBuQ&{Flp|E^oQC?y;1 zYEw%qL2XzE>xCz>*yk?8#*;47N-IGv9I1t~N^Pp3P4*pTgJ2=~$B_o;*Pc802^Ghs zYd!8A$%aVP;xa+rVK?!R|U%z}|TJecLu6>SPpaEtG0u3E9@v&lbM)9^@{;C?n) zzotPJ+YolG=?H>_g&>7Z8M+p+F!jW5WG=aTMx(s7X~rN6#;qEJR72xHb<>Q}dv58O zafk88T7$EsXGXme9DdS(C&lkFo4UNS^MTOKPx|>Zeeoxx3F+WcOT!Z=z9d9K@->%6 zu?a==&(I6*N0T*6l`tj1?)}!hfi-veLgcPy z%W>@gB_~xmbR(9{7xozUaUk7b8(Tl$!_Yq_Io{NO~ z>29%+5y0^!LeNHB#%?I2ZZu@^Jw%tm(;~w9jZA~#_z&$FW0wf-|MWeu8^tze5sm{~ z_Jx!cw3%bv)>oHZGCbo_!GDeEM(8tC?^Y$XrI!ef2TedWx)_)JCyoZ~XT74Bcw_FyNJ%IM@yo06z9A7G^7dhx) z5@?P{F8-3}MS`oEsPC%4(_77Y4_INSrDuB`Am>H?NXbKy-g|nqE(ON{F5k)q-+!~; z#<|5YdbE1Fg=SnTba~&^P1UqWS}CJZyHxPLin@Vu6}TJ#Gz7nm13bM1my1svA5*kj zjeGlMrT(yS#{NqL*L#tp-74;t8&e-?oW9=|w4zYdubxYBxk>^3T|oT3{v2KwmwiuI z`rn1I-z6JU)s5Qymk53vx+P_-L>&JQ<&69#LUC_ky((}y0BFW#|GThW6}TJ#G=yRs z>_ex|vX`KA<`RL5>+5rgF6Kb9NM{9a5eQJhv5A?ksiIC_FW?E zEq>QxBekb7d23`w|0RO-=TLK~!kh>npgjZQeV5kFa6C}NL-qddMmgXOmLIYwG4LftJONF3Kk$9CGc;EJWui=iB<|mjaUnsuEqG?I(F#RRX zasxrlJf7_J5aY7%c_4|I|4Za>{4ewLu~JnH_NAR*e$W#CzGwml_=yv|1%#yd!qWeB z&p#kC?9#*cmpVG$=ksXveLnnu>!XDiE?jW=<(CSH{eHS!v=aV%==YE6{dGCeZhyfpr^~@wexS>jGX?+O>U*#_zgI|-t?@QVQr9Pb zZ=(-B_+SP;n1K&w;DZ_XUgBkc>20oa9 y4`$$l8Tb!61Bl`yqq8%gB#HkMqWaGCf6r9-?W>ZM<==xZ#qZfuN=x}K`M&{afFh#+ diff --git a/fpga/hi_iso14443a.v b/fpga/hi_iso14443a.v index 3f614fdd..46adda12 100644 --- a/fpga/hi_iso14443a.v +++ b/fpga/hi_iso14443a.v @@ -570,7 +570,7 @@ assign pwr_oe3 = 1'b0; // TAGSIM_MOD: short circuit antenna with different resistances (modulated by sub_carrier modulated by mod_sig_coil) // for pwr_oe4 = 1 (tristate): antenna load = 10k || 33 = 32,9 Ohms // for pwr_oe4 = 0 (active): antenna load = 10k || 33 || 33 = 16,5 Ohms -assign pwr_oe4 = ~(mod_sig_coil & sub_carrier & (mod_type == `TAGSIM_MOD)); +assign pwr_oe4 = mod_sig_coil & sub_carrier & (mod_type == `TAGSIM_MOD); // This is all LF, so doesn't matter. assign pwr_oe2 = 1'b0; -- 2.39.2