From ecf53cb2158e65f3b6f44788c80deb2235fb0ba9 Mon Sep 17 00:00:00 2001 From: "henryk@ploetzli.ch" Date: Mon, 12 Oct 2009 07:46:03 +0000 Subject: [PATCH] Add HF simulator modulation mode for 212kHz subcarrier --- armsrc/apps.h | 1 + fpga/fpga.bit | Bin 42172 -> 42172 bytes fpga/hi_simulate.v | 5 ++++- 3 files changed, 5 insertions(+), 1 deletion(-) diff --git a/armsrc/apps.h b/armsrc/apps.h index bf45407f..040737e7 100644 --- a/armsrc/apps.h +++ b/armsrc/apps.h @@ -56,6 +56,7 @@ void SetAdcMuxFor(DWORD whichGpio); // Options for the HF simulated tag, how to modulate #define FPGA_HF_SIMULATOR_NO_MODULATION (0<<0) #define FPGA_HF_SIMULATOR_MODULATE_BPSK (1<<0) +#define FPGA_HF_SIMULATOR_MODULATE_212K (2<<0) // Options for ISO14443A #define FPGA_HF_ISO14443A_SNIFFER (0<<0) #define FPGA_HF_ISO14443A_TAGSIM_LISTEN (1<<0) diff --git a/fpga/fpga.bit b/fpga/fpga.bit index 503f041fb85c92101a5a0ae945b9d228b73ee4d0..a9b03e1382af8f88e405ff215ec90a2a9e9037f1 100644 GIT binary patch literal 42172 zcma&O4Rll2wJtif_O{v9maUC3xef`*mTahSWLpU8IAG*u!)cX3gOl6ak#oj*=Zci} zxG8O&wC~>Zyqk@5Ame_mDHwr15=zqY#O z+h1Kx50W$Z-35XF@$mdWfWAt4Fc7$_c7C9CevnpEkr`ODFu3URchT2~dfRT`^ZZl) z?dt&&4kDTsa75%kwSdEPkZ7z9kS+h6CjVX(Abg+ycSnFYwNT|(snn2P{|6scr2p`r zx3Og#2TQm75B`sf^dJ7yHk4^I<3D&s`fJrn|D*IjZ6p4Fv`NS|*A0qLITeyaq#vdc z`rs!gF^f`-ou+JK7o4JBibissQUZ<(bSHP6R<84tv|z+F+7ZAs;vN%#&)ot zf|&3|oPFL4(amjlBz>dIRMTx@1-8;o{wl2uldzL2xn|T2QHRSM^qEm_<~{aIi#Cdy zh}O+aa|3y~v!`%~x~R(5EEZ5B^0#Q_8S_mMGF?0LyNqAZodd2Xm2><}HE6pm#ZcR1 zla%8{ah(?PT0EjqGKHPYYu4+MYZ&ixKAJkCNwL|fvP0%he z^|)%vHpv2~xe?vaqs}|1%kU1P8}s<9w9D2~%|UD+d{PC?w5_a%^fI=dS$wz0XRsCY z5_xT>={$%nhL7o3L_ZcDQ~&$ILc3G!B<(bN-*F&rp3z#FmU&NDbJ4FTV4s|MXJ_9T zdYSpAFZ~jIss_*>Wm{(B@Zy8C#=s~pIxdN8)aaYmqSw<6N8_OTOvf;b$lvNK7{Mq) z5q})RIZq)`Y$+ebuJYiJyQiXx((@CZ9@q9$wXL-=7p`2p?o$CiJUm1gGI zrYFqWG`Y<<)EmW3RMz z6YmMgHls6NqU+QccU{n{qUrvmDn6tuYMpSW^hKH93S&tQhC^(#P*OBbOUT{%S}HP5 z4dfQeDVQ)mnsH8CrVuZlt{1SQ6y!Bqu~olMi};$j!ZN=l)UVN@XbsontdiQv`{j8C znMGQ`_dZ zln>Zt9%?BWR@PXT=PwGohda(qOOLDEafz-uf=#Yr1^A&RFI> z#XAQEJ-iI2l!0gnOO^8!3x^L{I)jBn{3`?V}M3gF< zPu9L}RbL?g>$BR{ou>8LoZ+H2_AVWudC8(jm~Zku+HJH?EJ?W@Ro_PZj)#~#!9Jv`fskFYZ`wd%M7H7u@6&P7IO_VoQc5Sq zf|RRO3DFIE!CCi22W9N5&+%iefbL3a-(v>-)|hv{bG7n+p+8IPhHQ76QYVhn{JH*NrQUav=G)&MQ)pRwueQ@S=_N-EeqG_6Z}U1+n_tmNU8>jC z63pc3Jue*Jb{rzv#+#z97O!2DV1DY5{t#^@?Tb_g?90{zUfbA8l~fccU4u5Jtp%`G z<AgO4$mw8};%SQ5u>ecjU5-k-JW=X(WPWR=MTg`_W6?^1_Y7!> zXp+Y&O}nT+i(h=^8F51d?Ha#Qr41iT!`68^BI<0{{)(0?e)WmV(?d2~_Z51NUbReS7T=J88oM`+=|3esKl2^QBKP-5&g1K=A_wqi%)!)G6)8_`<^ z-Dj6x;@2gRjVa>=*H<*!C1XlFV_y=#J}e3zxt(+`C0T>;?{kcbqmfXu@*wOqJ`x>c{o}}>tnSk?Fa0gfgg(M(eg*v zwHc=DPq^&Qw9VnObw4W*9TESq*1(SOZ4tj6E6d~8L4*+X!o9j;v3UZvB^KPT87w+oz6SW!Oi2;6v>4v}BGr`ng>uAB zqR%Bl`8Z==-Lr47Yjz0uHRCHPwqL{@r!gBS94=|8IG3@n@&e$OXyhx0m4)=Ltnogy z84+?^6o;oxuU8tsc#UJZU?z<^YAhZ2MKP+8Kz1TCc1_|J_jBD~owCnOco^jEs-OD| z??A@BfM4k$1K;rwO9MT|j`=Cy&fdtZg*9`8WSrpMI-|GsvK?x1Z|@jEz!2gqo^p&% z;+Lb>yi2w*^wq|P6k6);@AxHqTQqQYqGKw4nL)OqQ#Wb6DP*`hv0h0nM0hx+nsN?( z1&in~DGBW?_tD{&T7md*oHklD!nvCr%d7?Pi*K_jr7@?A`W-$iW+Fzyxa=ZAn-=*V z%W*3mh*;Vf4n7<1))^O2kcmkJkKWYUi-8I(9FQ!a0;LWZ}%Z_sx7BNYpRxrH*Tn zu0SYWQmOnSd$YXJb}j74;a8GA_d81) z=p;2-?xRWroiOp9OC4GKQd@af%-e?T=hwOhYi+%gc2mqX*Y+n6yQl3-6jYiBu`KLW zKgH~tur8v0aF7~X>%{Kma$K59EUH>u7fu&LI|Q7CyIDI`N}dv(#INEtbcBK&OW=3J zRBSeZ{v1=$pLj(Uzh;lq4Yq{4ZDqo^PD`5HEy{#8frWd#EstLl;=S?(y(>>EvoNl` zR`IBE5j$uBxrUWM7Qg-syYPzT-ONj|h~!@^)d?bIN+y+==UBn<=}&olv0D;_KI$s> zw$CDFlOT(H#B%uc3wmi&m8EwR-b3DDXD3UUcwn&8N&dxWj*8Rmi=K1WJF3`_6->GJ zDHr+BeHHOd_vuC!zg`Ypr2|p-FkI!h(RjD}qH>nTV@sm$ZZNWloI`H(spA9SU-4ac zm`Mr_<2`4{=E3bH9pG`MY?E|&jJ-CqO13ivUG=@CBv{Hoe=Kbi%j1_i-!$XkUq>MY zn539=fpw7euydiaK8IiYK-6PyJk348QBW1fgM1;1Q$krGk&!Wl;~F#$1+ zlp%VJ0!`pwr5XF;vtQN_Z;-pi8IYt#xCc8X>>G|H%W6MVh6^L;GWgdyVbfgO-MzHW zxIzhXZB+b(0LFGDl=E5q+J65!^6=%HmD7H(VP`YjMC%#ipEh(SjN7>Wxq7K;$Gi!a&Dp*g&B8JbQ7iHD3kpiPwDUghG}v3#6F$#!?f!KvV_yf0AEa@y zpIl-_iX}xq)d(dePMeQtt^vI!i(juB*X+g!%<2b(2VQI_BZ)K8^T(Ab`1Kx>__ef* zu8q!@wCkL8&06rF>m8*qi(jMaL8XSeBz`4Q`^gFXQV;ST!)LLQ%sd0Xinai1mmAG0 z@XH6xWk9s%2#4aqviS9^D!Hc~N6fn?4cXoidP$uN5YO?inSa#YPKCarcNbN{s1HV5 z@h?h53v$Iet$a1KYy z*pqVAD^(f$(q>!bpk1OizI2T?-Wq}qpnS})IO>JlQgZlponN=^Snay3AEt?TMV~vV zTn7G=}+Q_lC*dxXx}1V?>%E6 z2Rv@EbOQE{xOZX4`ki`CH&$aA3eMTUlhJ z-8(>mevcs8^>&VbsSlc<6%Q7Tyxw%1 zr>VvQHf8av%tb}QxIY#YFqk3N?B$3mZfhv))+ zj579R&6N7aD^$ec)qf)$V+wtqQ1M{r@XPTDoirC9y3iZMVFWOU`RgTdw%w!3*d%_D z9goGm&93-Bh`-eCjpzyH)4Hf<7!Rq;JjV)BmS8$bj+jb+xI&m5>cF&ONHZ0J|7ilErM9gF$9M4f$dpE8`q zuY+X?yD?Sr4u+F<_;Dpkf2KvY`;$C=?W81!SO1}ML0q+hTih_uw4e9y!Fx=(rpW@I z8Vi_5e7CX*+GE!}h`_ZTSo7yX4+!~!W-0#eMIB4{H>&f(V% z>=q~$!yAjOwZJcT1V9ExHmbMe@#`mSeY+>s^(bKLvFPVgMfKi#`U!bPKpu1Wb&@)k zMb7Fkm%3QHS=pldn8vorNvO}^*UWBlg7$88@9yx?30~J;{4w^=`!;&m+mXjFb36=p z5rs94H!adYyUx()1NcUCxF>E*}b-b&vg^I7|rtxR=5Y?N(s{A&)_mahddNhgFv zlj}FJ0&3@#|A21>9CF#gzc@Q4f>dH5W*etEa&OeV(IoY2u(%xm`i!peS0nCj&j(m0 z1orO(eDUx%_%%Y;M2I6AyhN`v3};w*)=c9C^h2Xf{ucO`dXU!$pD0af8>xyA0DH89 z2=aWkGml@i=LndT!Il-Z%Ra|hr-lf>NqZoVU!Yy0hR5P?I~%BqD{*Hfy`Y`pbl(*G z8iY2;T|0KIrXvRW6JOe!#;+A|C5K=jyC}DEZf?V*Yq>t8x?l zC3=_FJ+9B9L2;*5Gp^_H%e)HD?=>-;t15JWgfdEFv`7?Vj}=DbZ_&)_bff(xsYBj` z#L9tQ_vxh*;+JB`%30CdTpGRuwwzba1^fm1uSnU)Psog_g$%hi|FDxn|p%L2;Mc9_*w5gNz1K*Y*J_DU;Surj9pUh?I!rg6swdX->AqlIbPu?sGB-Vg^Y@Z~Es zAsS7D8J7g|UlFX=B!1b(x$vP*?-_)yLER+#mCID5K(vSp$t4-0C%v zu&efUOybv=qu02Y9uDve2?&1sm~x2&$XXw}oW-veW2g9COYkk%KIKEY+yb4%TAHFi z89^I6!BErtCt2V@EMfk;_6oWItto>341pAT?Qp;HNMXo)~{F`nUHh&Qwmdc`i8f?wx=!U4M`y+x(Zgbg}ArG&ld zAmYsQnkJ{mX{`g2JBP{aqiWLI*jo;%y(41WN)h>6f*xlZ+jm;C!U$#eiE7XC*1~tB zN+U5hkg>0D*)dIM>Gvcv?=jhNN|42UC2Xsj-t(g~51Jb}#$_p^bR`z*K`1-UuT!8$ zyWm}%LQYn;AW#_Gr}8SZu^hhOKki*&fPc#CwEbXz37u`denuXrB6 zR`WyD)8^ZOt#ycJ^f5Yg#2Z=B4cjr~xXKHvjaLZyueaEU=0nA1Vdy8IR-?H@4!_HSFj;VT9k8{SWD`MhTQa=QK$%qK#~yNU(>s_^@e8!_Ro8?ucg|M+$ysEf$E`HTb_SC!GBIW zd8{Rxwn3&xn%^bphjzHF(9YtQt#yP=P4mO7_9p#f!1p1egZph?S)PCGrVlA#xPC7C z91f+>`5W{aZ?Ls}N{)Xai)SCET1)v*T;-wDa)9O)7JAFQcSeqXU7(BV0y9lv;3{QE zJVYmB3vAa|mVXKLI|7;g)lk(&vFEW5;iy>`?~z{J%;HxS9Rddd^?(l--%fQ&XO7~5 zby`2m@-LO(-Yu>Lnt=sujjhyUO{H$*OO$5$S8*#$CfJl;3%Mv69ZApfui0Z6JxcmR z>@h;0!M`#OIsAf4>1?!0PAf0ckh#G6(ij%*cznU2`*aq+gmF#GRI#Iv=vwKK8MxQcJ9=m|XMQ+iuii zT(x#VBCj7dYXRXG&azUQZ;5DP*5_%3vI_vM1t_N<-eMx{mC{cmzJ5Y{v-h4y+3oZU zzA2ibAJW}p{)SjdP)X7js#iuT67Dv~Y3HA5zFIt{yh{i? z2C?gg3nQ}6eFYx?{(?TBo+;^x!1lw&R$+_*y^7joo3LYtxt}VUHSRQMy`#b|ZiC?; za8w@!kmd4UPovKs(>dN8Bmwa zbNKZ!$8c84 z5o_(?aExy;$tzuN0XWj@m8swGO4{Ya4|sjrGb}~WvFS^(eE#bVjMU^^afYr^q!~nP z5P6~q*Cghq-%~yl`n={1cRj%>kz|L^v9)=GZ!k^1A)d>Bl|2h3u)k$x_l&tMX&Q(^ z4oqf{4{cROGj@@#--rW_yOnds<*lJ`t!#5y9OlZ?z%NtIv(K<({RX+$DjrHUEut0c zW=Q^pZ>H#n_2yv$Y_+m_xNb|tQqG&{nEWQi^88Ee6@FVcW~oducOTLGg~etUt&w|d zl7E?wayqaK>RgJ|ncL`(cG08j>S?|4ts{D?SIexaPn$)bi6!GY@?Z3N`;wG@T)&Ne z8C!DJJ^DGuWS3FD(U-}8CG;Uc?IOD*fpPsQ5*mWmG|9hs!F3L{l|mR_*ZEuV`4k9U zh@c;)ZXeZ+3}5b>-n|U?Wp9o+%LHVNK>*nfbA5UBp#ET%6A{H9ejG6psN#;JYw2^g zX0uzNfmGW7GEgtspGaA|%{_xFE0uNj z5&On8O3O)h)p@Yl!Xv+wD)q;m)s|^7gEEmlyNRk?~amp6>XwsUz_T!em zTKX}&DvpF&x<3~5!}M=ivxki(fZEM%P{jXLV(u{fVY*GEVsu)1&)Ws#)_YhT*Ep8x zmoi*wRRa9~oBxV+*6h^!nyYA|KzgW;nF9GQXLw5f3t3lM18XXj&H=U~SWF1jbZCE* z|C-E7n`!ezn?4hEIyL__{ZAN9gY6IKE|!5~wugoS3*yMk=JH=VBnnFpDS67PqIOK- zY+Ni6?qB8cYqy*h?%swinsyg)WgZYM7>EDK;n$one!XmYr(y|VA`mJiABmV(Xt6wg zai~v~whsQ44k0uX4rH6;Ac4Xhe%%~ z9I{~w@K-q*wFl2VX6w-F>S5QnZvKB`Gly5K+UM5$1)GH5OGyX z^X2jD2njMtBNW66OpSIE_E?8%4u;Lr9DW_-?*K;ESP&PFQNQr@rXPY@fv+@_bCQVN1H$sh!nA#* z>o-8nTn5I4KXLr&v-okVV%3grPMbt(RPyw41%+K~b|B^jyi1>j`fTR$_Pvu$Q zX@8RzN2HhTv(M2hE@NEiYOc>CLU#U}0X@gREX+?MtsId0p_!&#CJj?P)wVCq)o7@l0_|rIsSDUo$Fj;x?7b}+9&py{s;6D$FIe|jn;lYU%#;%DPxRF zD5D4h8w5(HE~uAj(TKZ0i(jbUpf}=sBFLlF5ejcing4R!h^IYdPCwk$3^r6t!w$9Mf)C`3C$B6?E&`g^aH5hC~9LIMum@A_6Cnq zB_RTFse2uMvAoVZr0!J_8#m_bH+pHHsm|!@)IBm_XhG*?tu<7&g#J(#zf!>EMI6#c zYX+b#MQS@Trd2Eo$lr1pr;(>d{wpOl5b07R7W_WmZ^MC57QeosZd7}k5J!!owz)>8 zhb|bh{xG~J$G;pVK>))5Sb%;ioCX#n49NcEQ)CWE)S6m^(C*&I8W3c7Rc@p z6-VfpBgi+)5Mt6UWd2L$@pW>v$R@xqdf(AV{~h@hq=(X+F)g^+-x))!mENm1`0`2d zc@tT@1@xHrfD{rZVkeOQa*fT%=D&<{rCnaHoM&JBxrVx^lh}yeB`Qw459ae(mwjjL58P*C;w@BFa5=PhLNq{tL-813n<1r%&|8oKb0C!fW4=D9q-+rk|rIN2brN9jnOIAMW;C#U?F= zs&6DY%Hf1EhaELT_SF2B%LHF0ZR3JgfPz;PuUonrj~+ z@(XQYR3*vrwR$$5(GN|>ZA}M6MMPWfy+8ayyT{tl%Kpi*UR0-?`TQ5^=U*}-RP3GM zW5W^jM^%cEfCwG=oBS6-^xOI7v!1cC-#Y-%w(^5ayfH;TJddu1=u2#u54c<>Tw6Ov zjJqjx$_4!};}65Yuirv8)MWj}GwM8&_48~k^AFdyDfeggyp5O%J@ghOx8BYQqjOSP zn4O@tcJ-*Up0#D{OX`Q)41ayN53sc@)yI84He_xS*pLBsznZoS)X!_j9F6fWSrzqk z!oIWHWhrmd2@w({*0el+3E-E*9lhhMI%%Dk zmyaUn;(9u#AJW%V_-8OF^MMu4z>EVxdp5DN)m4+=ifXICtr z|9VTkCft!Pb}OH$*X&n;1&;qoA5o~;y(P!LP(S}Rt8Xce>vPnjy#GGeb~wi4Kx+T# zDf|oBE~JzM8iWo>l1vAXT@c! zhSzpi{E;qu;qbw~nlHx>OGL}#*YnIa}aqxm@>5{UO5dapgsJLhQ9Fk^f?Eh=%k2N0o<|Y%*CtUt-tB zl~(N`3JG_DeZvBxCEZi?Lw+*WXyH9KL3L=1xWg4e&KN~QbHRpEo)ip zK_JAV33DAm=m36Y?2Bl-hBlpu7~5o539&?J^Y(JjAW#p`CHn*X(mLXP3wn67AtGK= zFGsmvoXjIdC?3ezSKrJ{+DQ>g`JYw3ZN6!R&boiDFm`%)FZ}%S$=?!){J^#bQQx~8 z4~K04S;`#cp}(m=gr8@P1Mbu<_1XX(5Uw%hBHas6ScAHhEPg#iKT~Uu=!2!@^f=ui zipQGAKv=LA36|n%`w|6yj%q`pThCS+8`QZx2C#F)3}~MHut6@zrKvs%TdjdZEOb+P0WvAz5W+~Y<{XZsb~3@o~=Kmvm7#u8ICd34GM2W@-O*d#-{Ku zoA&yE1){mqXjf5uA^>DjEEF7wH=}RZGk0>F6ln4#oIWQ&+{S+Pc{gg{Ut9C_hgTuF z_b<~DiXXJ1UsSg%^VK1~pbYuAEdOfiF|TO|jqG$RaVOzHhTFd|Yo$^ek>lcqP(D-_ zNw-re!%IS{~(P!zkoRgsM`If^O#i|<4((zk%c>}UW7 z1YFUz)NWO#d;zE;>3Ifz(b|}2XLp!A$=8ZGk)l?Vx~&zSv#`M|{~}j(7u5^Uu6Azo z0Iwls6J_c*;5B8Jk^g!*jaK@@%0KeI8jU0Fh%(WPhe4W|I>io>o`xRBz_46 z9?5~Y#Zso!Z-7<^;MZH=7aqhqOnN`?ugH}84SGUUTY#-ov|Y?Gi)12fz1W!oc^u2) z*YVPJxie)PwT+okUoMWS#Crm=&!aQD=^daIeti`ES-7RB721U)Vt%V4SHF=mQLZeP zX$(hIuwHAyzsBI}+`J>FAD%Og(hoSQXM0gUALNhkQ05{q3-V3fdH%K9A<;@g5@J7` zUD~dEjUQUIkXKFNUzIHz?Aj)T}7FPz*)dmjxlI#YN za6FG+pJDp}tz@5N!LSQ$#7Cko0wFP<|DsI(OPUo`$nAHAVlCb>ZLM-IrRP~Iz_Blh zR>N!|=wyYQ1X=u#Z;X8Y>!(;{FVA!8IO4;nXfCjuzL4>&*31v+Z4qpCmG3Iljzj~I zP3S6!*naddoB#4TI@wh_)U-LSkFd*hn8V>;5IfC-Hq_l5e(mI=fuP|U!by`0Vli_? z728Y$S^oT2!D7CVo5E)~W!XkBuBD=X0)9cjInQ$X;R@;z7LKXH2H@fd$)F+3hB@NX z*v5=~p?)L1jnjN*Jzzp>!JMv>3RQ&lsa)>EKCOh##pavv5~VaEmYAC!nDLus1LEP- zidOx3*#drbcAs|HY#^Z35d1vK%j3$2QQ+6xNJckL;tuq~ciR`3l@I7&QI5y&jG%ra zL??Fs+XT*o#?FIk^e9HGW&0|wt0@! z0Ul7-d8(7>Gtbx;>JJ?qfUzPo?SH6SH@r#O>VTg&r}D4gk=H2Rt$dR<+GUozTUi6g z7_wcvyK?;N7+r-ml}zE(&t!;LPo95WVkbDN%2Ucg$H%llxQ;5r^cxnmSCF3LUk|Ap zlO{P0ugcoley*)!f1r&i({@@p{#7tn?lDLC!Dfin5DZ{nBjQJ$-ehd&Tr-Pb8nj4w zQMsmqB*82kgoDL<%&a~S{8C?&VNXKY?U02WexcX|B?o>?&*s1Gz65`Gjo{VCAWXr5 za6DXhylUf{EPfSyLKEUHbIo8y{e$mDk>ES6d_p%W?@R%pv-oA4BWRwcmS}E|$4oB@ z;G56VtEEAS%Vx%p#R_bIL=|bwt7+XDO4Ov&+e?);&>@FkRsioIEins)h?QO&XG<>_ zU_f>_k;gA^VFBE+I%?VJC-Pnq;rCI!PBwW`D8htpyciNbUy?Wj~f>;9Q zmq%&?`SZ&?;))hjUA>Ag2D3_`ki*YErG9w+ikyDvMI3HYIKb{8fwc%9d~FRf`vBIz zmbIJ(Vpk0Li1d^^m9>4a`|14|lbU(6uoL?$iGFbbtf)&)35aNwI zehKVex$c#e3fHCZ&_`FzU=qAL$G_r~jt`~2(FqYAhW{Sl8<;;3o09)Rn`pI(44s>H z3)CMz%6=3-An~i71v2)9^UG?-7JqwD{nlc0qhX#ZS_qDoW~_=dJw>T;V@M{!-8B^2m5$ZpeA6ka+3H68Uxt2PPc(qyrS(~Nk4nkcz|U(9p8>1^xxkU%s#Ob=tYC5@N{QPKgD}G$WeBFUh}x!mlY_DLadm zmflC>!*H>&oPK!oh<1&=!dJd~%K>&-Ea6?lOIOq72m;{ljw$u?GXDkGssuH|!*rG>s|~+|{1-co2LyqmMqkwH@OA!8{UMwP&`M>`A*RK{h>S_NHa(AD&tRlI zyl7vu%AXQd&48^D=&NQE8W-mA>vCMOE&X!{+h1(+KdHQqh$tArH@W)5UP84-GpglL zhD~)}hp2mwL*xm&r|_?xh)EEEhz<`MHx}V=-%`XR6f8$Tm8+j$O#9oaV|pt3Gr)~U zEKik=@)xN>RwU-|YZ>)N!RY;9J9|?!tS>B-e#0EYKAg;dS&rEx&*SS6=VP>4@eJrK zbCJ)bode;vs4ugol7FFWBjtV+`LDlfGXZv*-PKzdV~!_Z2Taqb9nXiem2X7sNXQHBD1-Kwvs<`3(klN_)K{4 z<{ba(~NJwnnq>P9w1`6prl@HWUY0)sm z##AQ$aTpUwwMhLC+5X36JT?rAyh?X6fI<$xzJs{4=b*l+YR<-3%KL~OV{?sHX|ADn zD`u8|eU4s?p#;6JSzY#gC$QjU_MCuEFi;DT!!KFCVLEhTs_{Iws7|wlV=qBbG@X<7 zCHWV;1on?Y#whhriI9Fwj?2o`&(FSuKGzC2*Ds+|5}K#Iv`tOtzs8il!VLc^n1~OF z#rC~NDgyju*#g@?rZmv|Vo%CtD{Yi%V@%jcd+qZH#l*D$04RW2`S0lY)PEPu%*+q! z4^2=-v5}Q(ouU#EMS$T@xx-5NOz(qP{?!Y0&MP#rwN=U*HsVaHYMWM-!Xdas#=g{< zm&m62izNx9DUYcJxi;yh`a9kqiFGPG)1Q=MJG-tn_PY0gFJF#_Z1?4*-{$E&(LUwT z%zL=8n?rpPfQe$f5P+yIU4^)1KQ9Sn`Pb$+V`t|!Z*jC zd$9a&;8*%1^ItY^*gDyt0QuJwW{ zA~2WXLQ^)v`DHO`;_P;!!cR%ey-Q4ssBebhkq#=&nfXah-)^q+RdcP4GKKWTZ4lVk z({uQya5DcT=qJ=`tw|xvP{KNe$1KvKrRw_jib(iT7S7mLxa06Z9{uRd=i}#*i$r`lkB;$Rym+_%4fPo9iR1p3f0=v_+1fY@v6T+R z<`V=swmWce&xDYO`uPkt;rP_>MlDINgylKI&O8qcBc6t8>s+ih*aaO5e zBgwSRT-S30`&WmbW+_Y#KIH}JvJI&gPV$s5-?I=xqF3HZk<$+gun&>J{4#PAYx&NW z^7~jB-)YR{T4{73dw%&rhOozS#hhN2j0VlhP09jh^L~_$=Jmth8ixuRTTo~I&xEtU zZ3Ry%A8fpAF5&J+IzGvCMz16p6BkT@=7s*A7YvUp@O0Jxyk++`|U4{OeY8llZEQFl-0? z(DcN#w&<<&B<+fWh$V^3_w;EY^-^q4su+2Ee$rl$Kpy`q>^%rd(EW~O>`T@kjxW3Q zi2H@5YwSO1p=gn{6t@8o7#V6@3nTJ9sNbM>frG9I)C1rckp-H(h~5x;EGSf`Gh^?Y z{xYpIst3YtrMJSYJP;Bg)JuRyf6iyx5KOOAs~t|e!E_tW430!IVH9?zO{5I0MEE0G zX6)9cd7T?^F%dTj8+$mj=MT>81=nndxW<%QaXuHk=|#QTJjUbOU9UvX0}}8-{e~>t zXsJyoNPCqx2sqCRvrvC1YPUlZPTOhRc#9pE`><_!1HGyCxBFAdLiMj}yUsveQVnGDq%60ypxYOf)0Q_r0e9nYsmE&Kd)Fpa=x!yI#m3C(8 zvF5XMu(Qh46D*OLpIAYXb~ymG0X9fmm_LP_oxCf?q~+#7(9tIQW6$i9FwwlckFA;Y zqVW*vR`i1PB2^i;7+L({Ge6=VYQc#6dB<7ttCS4zl(XtsAQ*6OpGMjI*KX~{tnp!Y zO8FE6{9L>Hm@?+Wxwd7SFaMXEe)x08QJL=S-POn6tPCaZeRip7TxFps&alkP>v*BGTWtp=>0EY90XhCe1MfY4v24A`lz!Z^B&+A@4#&vD@|SMNDcPAL0rT!W;SqW z7dicKAK2gRHpca@^jBIOSwDtFxy~DzyD87VMx-YZh*IXTPigDTFujjWjP#g0q2&12 zb`>dpfsk(@`>E}qAVvsXLiv9HqWO;04gl|ucY znX#{M*`S$d_IIO_W}dmL-AsY+oS<#A=5<}0T7P)O#+5balso7%XE5gehBE4Xhe8SW zFY@= zD_LfbN&Le7Q7!s(_Bq1AhcRt?RMtNE?Z@Kib9&F07c7BVSSwF^ReCo>dcuIM-u6RU zT6N6wuVqN76T;@W6UV+}+!8P8#G2OdCV3WEZdIKB0{@bhBK>nr4bmo|STwGNXp_7@ zDs89Tv!z;y>|2X+4rYZyj$vgP>H+WoB`1SlsNdkoh;b~_LiP~_xo*rr@jt_V6<5BV zi8tzvvm%D=rHfLZ7DL#sTg_l(>2LD&8-oa+{OB`NHN;VPPwAlChj?K3XY5Pfzp=rI z{k)Y_v4Q3;>)Kk1-{Sbji01egJT^xU%k??zjOY)$w>v&q{e228!_2p4>yy^mcL zk1z8Iy@&Z!TxRk_x(S3VOd&XO)$JU$CvHj&pa*gHBe{~a%1K7^{P+I@8>Ui+xHuf6>#U2D>EX>%K zS%&(gh=0sk!!AVQJYwp_Y|uo6RE|lAXZC#G%>P3u+lZAUI{wT)u@E0VrF>-IkeBVg zq|D9OGR}X=Hb)B*xH#bo9UgEeW*{Sm%W!Vr(~;v}<1{2QNAJuC(fiIjaW0!0XrkR6 zSvjVpGQZWXK0vV;ykEfS=drLiru#6x2g5aWdcr%Ju`f~JLsV5`>xoi7JuRLkeQTk$ z3a94r4NGO#LQ^$hs{aU%kGU9RLykn4kb6~NO^;>li!%6S!wOvVj#@{X8hhKP%CTx(-&5Jh(1T;Ud8=U#+7@Zvvqbj%wy2r{fP>wB9f6kf%llQO|oE~ z32O?2d3el?vAPGfmspMY(y)6APK14uSqq$BCIro*eBnJMY(v<4zq699H500TU6skk zDecE1j#-4t^HEKn1(x|Q=Qx{1`$esFPb-^@55t;9-<{VW`JhYK6nLw|ThS>l5-RGA z{Q2cq=-;R@>AtAon1g7H7q`urfK~u?Zi;@0YIzY9D-KrFfKCQ+t_|xRD|ke#6i~X- zaYA_dcG^oGD4eA-{+VaPWHe`#cE*>R`TE13pwFh2?lbNTN$I2PNm@HFuTOt~<@Cdo z+TLlPoHCBWx!RHC_4gE;9pn?YH01G1)+F}}sMbE`vED_NJJoTp^MrkeK+R;Xe&Y>r(3;FS=>Edu>M!zdn{e^BXS2`~=x>%oV?-(m29{;q}Ip{1+WMT@wjI za_2Y*hMmafL^lXjOik4f@uymjkoYgiZ9zzkBT(E>h{MiP^g~tb0e)$jT0S!wj^Cq- zcGJ8EN^TCnzRAajL#97A<19@K2N$~5VtR3-l;+OshqIxo*`fQUZC3!kc4#$Xzf1g@ zfr#-}S^VlQ7%`5DMYu%-;l5%u5PABEb4>5w(Xs4CUtbH)J2G5UI$ zW>2Z#FraTN3xApZoz}K`!rG~gH#yd}e~Tknie~YvQ*C!($ir-cHjw8&=dgF-mdDgu zUVbW9KR@#v!hG)6-c&J+g zT1m4?s8}x%ZC$ zvNIKvGMU#)RM>g^0upgI_!mvehBATvuMlwZ{0r)?=dRw8q=L%?MB~w+F%W0mh-jh^ zF^69tYp=#xQj3AnFYc_pq)o8rjaO~2>Fmqn*C4vudej+UHtyd*3UZ+rMWHIh5X#@b zvAaoTyR?1mEWH%_HjcA3KQHrNghIq@{ozbdV1}a^o0PL8!wlqis=%89L0rI_t3Q0% zl~xOnpocd!9Q#V3YyDLPMr;XBV`^l>TOqGX)9_<5hzL=H02CS{)KE8 z*P`r<`8>IYwM$!l^y(HQ=DPFvbua8Z3>mIWTt^&6c^4!>loCD`oRvF)68bmy%Rf0Fa!hy(kQsr4lg-)@vVZCv9Kl1(kHj?BQA*)Z;n&_A)KL)o)4tP(R3W z`k}nP*K8>dp!9ba^8p9Fl_WD_;4%XR>9sgm5FvTLgogd2qEym!#hOeN7fCtL`Rw`S zzXuoQjj7tWa;fk=TGWEAg=#~N7!u4Sg9YUUBeuS1>@)8eSx z*~}}r4*a5@s~Gzhwk{JNLO)!Fd2YEku6z(ZB1&a{=Au9S+-*4W_(eEN-Xza22QHg3 z5vHF*td(Ywx%v$mEko_;?7*550!2*JfUMtecYgJlYRXCU6;zpr@ApDBgwpk5q^3iA zmK`%Twt$HB=JD%ZLJr!7j{R%e7pXS1d$4Ick!Dfso7STI`ssPaDjwY?#QvcvajDfC14_m`f}*q6M&7pFGfDcN6fLA?s+ z37#^fzNChxW8rfA>jsU8yUwopNV$!lMA`g`@jHGZP9_$NA^(-buN@rq^GQ@|+{%CJ z01tvnK)2>Zl0I>r!R|N;9tmgh3v=U%W-XQ zS%Zxf$w$yhP?6Z1Kfin(5k7AHO|c))Ngf*YUr`_=9$CP_c5?W&njfSGZAfR;{AcZp z;HW!REW0Ya59`r9e))04zQ_KN6+J%vXP83>iPgBXXztqO@#Y+U;VMfq?OO!fO}nV| zNPU3T&|Zht4*oTXUzz(iDr|(Z7t}v7c`Vm~f<2TSz`nBhb@RJYEwtTy#t+~I7u#td z`@Q5}Q}F8)9T$ruh%UxxNGwo`k0_`=R2R^SDfb_aP!}=yjpie?kyVvz)4b16j02mX z+hpu32K)LERdG}`yiQSvxvWU@774-qLd(}5PJbM2;D2y!9kc;o6Y^i1DCU@pHqk(4 z-TBNvvfl~7O+p#vI5)bxc&Fk;p!h2H|2y(lX?~G%?4v)M=_<-`o=AOoG9d&dE0nC?q_;g6?OJI{4=!5{QZZx0#|&i70TNw0v4E{FgJ1efLcB+6CcX^ z=U*eJg#l%d&dP`BGm7YwyKw>U6#WpVu#Lv>w2R6$dLNW?T1xqg#4q8Va{poa{`n)A zALN@T_>!x?;}7CZ6ZgUPP0^y$Kz3)U8?`gzis23e^zl;Rv zRl7mnl%B^g+Ax6Q#yi*?z(h|=iL5QeA(Tk?M=Xn9umWTdS^#a;cmVA};h&k-4~O&k z1=)~CP&WUC0`U|#!_FWBBQvWbzLu@mE@d4!=|BDE%E_n(MSL;L2+4A)pg9Mrb6;!5~x_VkrJ)cpryt_DC;`7@{tFLr?WfJ>>2R`E zXj9HF-wRKRvU#{}>@ia&no%QU`rq<>zWJUke$|^uAq`_2&!%D8+y(0Q$r4IKWE{NbtgwL8z#uuCkk19F*O3-FPwZ?a&Wny1o-YHP3cVSD;tBCU>6ry@-zzecI5GElp`}@V-zUlfT4ZyhZx`(Kiq=#n&e-o-|!C6Ua=XM zVMv!^y2qu%cLaq0osJxSJxZNaA#|J7Be1Utbp5#Jj5MNTxHFpLUzOAa9}b^cNw}j! z!(L5BV&&dJ?A088nP_8i=#VNU$WIcE!M`j=c*^}ztHB!6Xk{W;f={q2Qka;a1D2A- zFX)HhUo=gY9m=%VN*gpselr!nuFy$iv58|2C_5Aj=ISixSef2*!WhYZmIA0F`L@?TOv^vL6)vp7J((p%a4 z99S??^!+@3Y3R?wPPa$VXs^-0-Sd^9Fz%lpbj{D_zi|J&@oL;XynI5v(cHL9`B0f? zxuG@=uDqDff1Rg41%mG`-&XO*&foFSPWX)rc2OXd^zY5%*FmEL@uB`*cG^NA;*i$X zGc>r~z}?pmlB(yyMAO8QgclE(>RoDHzkCmUKmG-Tq^=uPEpH@ncjWFvEj01{&y_SRk z)n0-yB;)CH_=g3}LMjA0lej%@1GBpD%J+W-=z*-f{b9L@Sxj z{x~Z07t_}MgRr)KE^eUZ-$VTCT@@ZG*}>;92<8j+AO1O6c73|$HFMQmw1k&3s}A$3 zDIEWzhtjPx^;yuwk;pX@w}QXqgNTTM$U1!fS})(0E6yN}|CaS1V>otWP3PWsTc12zw!3bzy-}T3;U%{F(q;a7327ULXj4&}mG2kV*}GrX=IKpX zCDFNX{voC~bN9%wL&tV(1asZHa;=R?ugqVdEo@zx(Y|KQ(~V;HuiNHfu)x7J=jU(a z^?T?=HPv4KS+#xi`~4}s{u^*mjP@_L8^*PqaQquG!V%~1*r2UJ@j^QW?YKHkyV{o9 zqiN`%;C4CZA7ZS6mq~d+x4>UD(+VPDc5PbCC@g~g%lF`USiiJEKI&88QBPq(&h0Aa zA3D(!W-Abx!u+Mp*Zm1(VsmBxAv0Q=39t1W;S??$udSr@X)T7U{Qb)|zW?=tgtava z{=!rMwSv!Kr(BLi4iI9RALn?)2Gv@K@~Ac|nrSFaq#z;8 zuBU(`7IXO|I>GZFQsO;C5DvE>{&miLExR(^d9Z#d9Y=B(;tsZNf9)s4`co)5tDcib zYOt1gHg`d;-xoVw=C6HxJSl(HVJW@ZhxOsO7U_O9yKVq`OJ~rh_??Vc5@~D}{D(#! zE~eu~vA}1}EiW~`p#I8z7p2H1gdq3-6F$z8&d&;k`@hgG+doO(F_(D~-e4)u5O%%3}*-0`Kw^vyh0?{{GwT70iQ_&4A` zL_JNLbQ~1$J`Cp=4U60ia+`zvc{r8D8d#CH4i^5No_{p@*Y!8b*N?9%L{FFFUnTRb z!4#nFqj|9wVA#g&2m7l~w!eNVaN7Lc4STe9feDrycvb3E18462nG&|YFw_xR)pfi$ zOv|Zu+Nmxd-1UT73cjC0Pf}ZU=}gUP>jA?1VjGRWpMo(K_zzoPp6nB_Qy5r%Mw6<` zyw%yaE4+WXc(P;Vl?{c*to^UwpI+Vh2peK@orO{7`wy>R&Sk2t2at_t@WCu6{?*O# zFL$gW|4_wuE4~_iOIcHRb$q3uN7)c#3y2+e&ijJ@P&jFaBbinjl@^kG@d}(0+t1%{ z@()dmcPs1Sfm~|_hS*|yKyKsr)f9K?NyeGKQsMyeGu5302l5anVU7UIl=J7APY>bl z$Rh&CcJ4(Y{Z0W8oIgLPj9^LJ1&^XO*^aew9}KZ$8I%mhJ`>L0;PrDUlU4JM^y;GCD%%Z*1Z<5_WAgVQ5hbY4e#V4V#@O z>er}&|L_Wo=hlgiKU9}kk5eldB15ngkP9KO9uhoOvHwfODXFFC+WN&bArF>db~Vx8 z<-cgfzO4NlR2Dz)`(Hd&PV$SRYq9o&62o!{^5^;cUwm3r^sIJ)UXxhQpHyEKT_7^V zb7#u@^$=Y))v}ZRBRyU|7$8XwqI-WMJ6s>LebumGCDM&V0xS%#ap8 z&!D4USY2QpMZ1J`68RF*4n*6{U8~iT?!5X!!u2C{rnPB&Hf80HVt=Kz5uEUIu}6Ha zg#SCat z;HJ)%@V8tgvB!{%6KWd_7I>(hEO`D6@R#|bxx8c?!t!dTV!^?#w$h7n%$s9s$(`$5 z{^Au#osMY-!A&Gs(m2*6@Jvo9+;rq0-h?x#-zm2TS)sLno zx&tKm~vK4ne|L{eEpUtf6WJAnud^vLlE30R1 zBV>Q|<54@`uO+M)50m~x{qhVlEfGCIpgFL=5dV_bl#ExAwsAy$t_1t*N8*`1=&{iL zuP83>vKtgFn){#zhFH4!sQQz*sbgdY`G+rIO@b)iHt5bpdU39C9&4?)v#UyYaUuRf zyG}HYYx^X&eVLmd)*9)D8<5EHgR=d#7yd)+ltg^lI-I?Z)qIKd&$;XR>;EX^KXjhC zZbxCyh9kw++4^%h8v)->dAj}vHL$wl5`h7Q+Vlb;|w{j4a=O7(x6CL(4Fm?uJk1S;6*~@%;#5 zK=7gY`lZA3hIs>AKx~M8miGd$0H_b*%WnQ5!d|4YA%>W^#8faO;SdO&zrp;~m3{7{ z24G_XF}0r>4tP9le_^T=jo6nBVTZPuLEuOX+h4@Tr5X~u*v9Eu>9}G}(lS_NK7YCK zFMO@iY#PDCgS(M`NO|ICu2Eh6^1uJJ4E%*oSSE^l)XChpX&KIs3-g!rJdZ!cNrq{5 z*!s^?vHuG?*nmG-=ae;f?}m@jz*Pu@h5UywySl(%S}V=by-}FbCDro?1^&YhLVnaC z>|b7nM5)wR?Oz~V^(-v|e`&Y4@50I7z@7ve2Y;=C>2d37UeA*?2SY6IA99)m_T%4+ z^~RC(Qn_;|bqdj?r4x`T`w!_u*;R~Q80P(7e_4v{XueR`EDv&K^;cC^cb~uHGvoEM z#q;9%nOe*V#q)z}Zo#}UQIm6oBF=m`4*vRq_@#Y#ZuhMApO9O)s}TL#-C(gn4|(5|cyN{$l--gX}vUreafF8jcQl zdnDRrxcqeh`~`iN(YfL0aE8($ftcMz`8KT?jg42@UtJQd_*V>?z@j%&HF z{k43|7*BQJn~5ob)5rLIrMjVvmaktt*L6zpAa9km=(i}pAe{17%2T zMadQR3in=9-I49eR&l49m<&K-yVA!c_6mn9u~A5|WS`Y!=!GgEEw>&?3TK@xricsx z;^bVC@MWg@BKIm=<(*dixCau)^?PYR-ed*$ni3$X(1)dKNP*W_aZCJX?$c8WHiBB_ zs1GEc#>geom$@a9$!CiL9f>+0sEWvE#|Jti6!+Q{Rc@y{>_j@!?}8zKJB6_0XE zA_4c6PIxySFq~x}{=cEs>%$yeiCBzC!wLa`-7wPF;Pv5@h~$(n(-!mwx&=VQ<)XWl z<4%eH%tFh-c3DFW(gEnqQFNwT;>%?8bUtcrG)!170gz5f@VPi( z#6P^#wCuN<4Sm7~uSBq8pG$1ZbY!M7kzD>RYm1R6_~4aD&fdx;((A*iOt{_Tc0f9x zWDtxo9Dsn5UL#&8gVp(3tG6#6ysup3E@cbdArmg>ku7-ZN-s)Ugg*{zlnpYQYe`02 zp!)zN&I7ycA}Qb_&{c)Om%wC-E^lr%`*gBgsi|J=HhCgm+34sc!^;SHk1f1aL91lnW` z7zvd@`i^KKqX>JzYB3VNevzh-J&;aBBO8@$0OWv`Fd_ol`lpIV1);RKi zdKx{pkxaV`hTu-(5?{p8FA>(S8ES`&Q{o9llog^s+uWj0IUo)YSwa1x+0=u2+9HET zzcRGt;lxu6(f}y2zmgt!I3dgTf+0jIG#0}bs~?NT=<|wK;t3huzZXy1y{++4270do zkc%frP*Sd^CmSEo?@$sV02}-g|6T@c|7@YfdVpVkwvezM=wmR95?_Ss6jh3%*`d3D zI@p|%#3g}DRJ)x{*w>{S`W?`kHu{^JBa>Vrd?6#CfB-CV*&$*3GHuDeW9EvHhqrkk zx~gFxn!fX;uZU-QZ@#t+}aVB)nf2rggM)&EBG z2b0t9Ibc;71Tr^%ZPVM29Xa!ha}4%ef98>MH}2>=)_m~H?EQg^q7I3@=2d#_QGg{r zKuJrj?F;Dv9Y?lPB|!%=>Sg8)hpCgg^y?wI44?W8>j@e9y~+}>a2#}e39$dmdN6PJ zGKNpb0WW(Xhb^VvZ<&5ezNy%KAYKJ-^CS#AO3u`kR{E=eyVPQ4qf2?&D-jAvkAH?O ze6?ZyA=V!Tjv|$1bY5NbQd|N&;RCnCt;bY^nxiM^^HeLVK!Gd9oqI0J%Pv9N?%XRN z$8@Yz@UrPMHCICdlVBQbt{DWE?j^v+l`MmMRr9G=BE5Sl6}()rFhK`6{GL@lt{&%B zxR=ngN(=Q+yc%TOd-YKbZIEV8Pb$^Gt%mx*aTUBA5VUD@doluidKq4JnOb=KrS$6C z^Gk9!CF7t`50D3J@0pce6%3oZDp`B13V1Tvp3CsN&(stC`%*tjzYJY^_d?sZ$z-l3 zsXSN(JQ?cA={uAw=_VO3RRMo&;C)r_azN0`%ig{4zAAV*AZX@gPX=Q{0$6KyiTAhgoPAjCNDg>1Y+Oy?Q7DZ$>n$wGiAIJw9+tCj5JC z)N@CZ>E393SkKu$a7(1uhl-$z=|uJC6$dyK38jzem{}OSZ2F*rm!V(XS*#6ulsJIt z1Fyt?U!k>F_?;KZ?}A)9-WTw47`V;C`}!U2c5!0ny44K~27KU@2)|unF3|SMFe%tx zMZ|R6DVv%O(0Xj%KPFOkbU-XKt3e=B*)CXM<&Fwu zLfvv{NBJ@)ElMlXbRR9T1K=6qOD5cvCXQdJ?q?(;OdI#9OD5cQ(dAmDJI+BIw-Nlf zm6cr?)a=Rl6Krq^fQG0P{)yVU6)yfb+|~6le~raH=8ykde_DU>;zgS)uLi*_tN#>~ z{J)jCM1{jj9XvCI-8xuUIrgXGfRH4)#r^|kad-Ysamwnm| zUWK>3$2FJlK?q(>mxJpUbop{q!T+tk2QTL%0m5<$50f-yZvIH2g+DCxz(Nl!^uR(7 zEcC!a4=nV+r?>~4^%53LSTBiBaW^b9eW3>ydSIak7J6Wz2NrtZQ`!S*d_t7yXPs%1 e_?NxcZ5D)~<^!nOeb literal 42172 zcma&P4}4VBxi0+fwRhsq>`7)5f+tnMok_p}C&@4%B8JJzpL(Z2CH3~+k8@6M?})Ue zJ=l|a`khOAdfSzm026{TVrtRSo*||-TIv}BV)#?siK!hBb>!Hds2n?TqK%3gDQW@% z?z3i+ne_L4=lgx>udDsqiw|3{?UP3G5Izj1eU>!!Q!`Pzn> zZ{M?l)|12k4@-Ri_?^W*AKgQS-{-rn)>>ZcUrrmS$iHO8(%V)n_R-gfdRnjI=gCL@ z+t+<06e7CDr-bC6x=*nb67|(SvgN~Vr**`?)7p4E%ujS`jJoDTC?{h@<9G>~(|NS3YLVkY5q!5); zAt?d}>*%kjfT)HH!Q#{#7_#I!cENf2$Xe1+JleF3Ce0<}OsS=GM%2H(BdPkRRh}cv zGxmSea2ZL>36YdiWKmgbY^L<%4Ulj7TclqS#i=$M~V4Rhc|Uy zg1MEv*IyC1jz5lxZo5oY><21<^$DC=y zNpHjg_MLTxsb#K{R*q>`#lLV@y5YMtsnoZ*;_4;3N;lcAF?Ae6#Sb@o#h)7kWihW?t)gM_+s>ZKlxE3uk_9)@(LdmU#7pm?BbGZ{+{2!-Iw>;X>0{@yfbf$p z2=cyWZ?jRU{g(C$FV{`xR30L?t@zkDmKc64uvIBO66RO1ih=8BLrrc}{i zlb?GZRay4Cg!XkhOeNgtVng)Twwvo^tcso>e}f)VLuAV9lq(Bx&VqGCU2H_3O^Yxz!bU}2hWd-sbWsGXV!_fh z>|qY)U?fgsEq>+{l{IQ+-gnQvLK6-3Ev{j8(wq-tOFy%YuX3f-cM4nOb;=7y z=rYywJ7elnx}09JvG`G}!wHPbnKBBq^R?VeYyAk!a)D^-7jE)wQA0WcjiQ2;WXG-- z44M1M8*-RTGxvw$Un(-$4vf^pgXN568;H$bK3o^G+Y^uMYk5?_?-k9pi#+Qel`tk(TZFKdiBi4kdr zckWV8X5-6acGI{O(46gRnvd&#-6>Ry#+jei2*aUSa_k;$5giY?5_+6nq~pO#98fPE zA-8aBVB52E;VD>CzMp$No(1d$I$(QV)t9=Rbbu_osD`bYj;}fNG*v5lOE^W(kjM7; z*d82MNuxD9I&~d=9(~fbGUNdQsq$b-R#M!JVv|eP_TMPPq7xhg%;Y5R(1nDV^-Mug)mZv z{H{>hZ`<~3UZ3t^tHh&Nenr0U7sUa~vOl++MYHqXJExb%?LeQm*SMEX(h**ANS#kt z?Z<3yzq%;b?a@N?RovmsNzj~Hx(&{Ari0bVUu8tOF;>#{LO;^n& zcJW3`(??=S(mAXyr&E*xvaxCWI!i|z+!u^q1le|~wDl;{}t6!5+C;1f$aN{&!YeLiu*Y1WqepRh*^UE3B zU|-?^+Zk^=b6FyTPy=%d_!C$_l=%t>Qn733eSF6xAd8#?m+GkXeyl{Y^ZC%yl;E zy7e5;%H>nn;5O>Gw?I8b=S?4XRj8%e_~LVc%T)h-28Ach`p`9Y69#D254qmWS&^Xz5Ar7v8wBBKuuIN}Hois3oF^rVM^pRl!4Mt3Tn-1IV2ED8C?O2EHZ}D_B zrl;_$pi1db+}wyUoTFon#Q=8q^Z70MtL*uy_|mGBHjY}$WD*oCj(j*oTd0ELi4A7s ztG_r@loWlIOXAlU^;IE1r&*E?k<(T`%*NO5xv$c@Ht;K@0u=Q6by#d~Cnh!q{>Jri zWE#KbTu@#FegUnj0A%-Vbf(lQenRm#tcf)hX4fNJLz&)n4G&J7VQt z*Sc%2wI)Sk)Vom~XDM0|_l_HjvhfA{(vjzFmuY+;G6xBDltwAghKU<249V+I!4-Nr zCh<$XXnrP^40?m=G630!`)c&tE{r$34!>E`q+z&37se&m;V}ImdIiwt zd+`2t+JINOC=|di1d*>$=V5t{FVDaBiO#6I6|j}& zzXBE_7PYyl>Sns4)pOTGo`3D*CqgSqQER;&et`lhr(CPJPV9ka)EFKxev$>ndgn=Z zi_sqb6H;l-m_CX5dVr(eIEX@$%X88NP5!oK4;fze9I3X`M*(E9uw~gs9qZ4=m(@^6 zJ4Hp@2(r`GHc{IR3iG=5K&)bK(dKFV0{`Lzv^?mt)EDVFy^g%T$fy`3UkhSq7gjWW zfM4cSv)*>4XHPbx@DLKnEHE^)6jRO2KreO$qd_ex#j~o@KT(kjJmx{E!Cz z#Sy@WciU)Xk8a<}5kxKF9RK<`J>7QiTR^KfXB`k7X=6FtE*=*1)B2=4hhL|B2eeJ2 zNGUH`2gq~5@UbX8O71dG-QYvn_!^z_gmvXh^$&SNc=8wN_Snw2x|`mlTYH>6Y97C6 zoaT|Mod#$#zZMURr#0jaa)~^CAv~2tE3#-68=$^M1f#^SK3;;{lKn2BeS=URhV+=D ziaHQ75~Q>a;ch{^=kRMj9X8#Kdd!_U$Cl^FlN(uA9=|k{A{NKWbjBi7#SJq&(%oj^ z6Elxr>%kEH7GTRwNy|^J?P^-so&=SMJbrzkU*`2KwJG%zI?Qk9@}A?DJ@u=0?#kg; z!3DaiEa7robRycvUArT10}FgD_~bA6ms@y*17#t1lQG23vS@F&M}Kd79>22poI9FA8qXBsQH)D{Zz?~6dTfT&>a0z4!`ES!zYBl#kpI(3Ad3c z7$i3N*Ezd#{7dQ4C(OE_YnM6{{un@(nG1<%wXxYRP)M#-kGYqU%_~fx)yM1<%2JnY zSirA7(>bJ0^RI#xp{=~K+v8@VsC(UAMw~5Ti1)Z2Z=A-jg0(Ch^jP|Gc8W(#>s4a` zipdDY(hSg>KMX{s`K=%K{uNcCrx~sT> zmD^jbiXlwFQofZQYt|d`{Ob|P(RdMHOKGROseCi=tBv;3O#DjH{#LKAsGKSd@`hrIhDIsWyoga8epC+kBDFs`0MFb0Nj zki#!zevSp720eL+me`o4<$%ot!aJtg&6!#h{L4IQFY*e71GOP$SQWa*$4KmUgn#M25zdXPKM<%@k0!lTR=ebVP@kPZU+$yRa zp~_{0gBT954haR7ovjb!pBvMV{m9j#o}tg^HXC_1q^7vJJnO-tirkw*os~73k zxNE#=QcQ{^r%Jw=!!J4~wwvIUf-Ryagx@xHvyb>;QF+F-t2Ca?EzyEgT!35YrA*U^ zRf$d?mbE00$P(R326yaP`xut7jUjufS6d+S&pA3g3s_Lj5;^`wCr|jmY7LX_HpGD(hq?W2!%z_=9TYVG1!9=|rBly#2d$+I1g*}bGMEnGw4 zkavK5sFhpfoLb5}dRqK_s3^!B8@I*oj_coJU!|Xj>U7a?4!_9BLAz|-R5j|JwL6&W{#*HD6cZ77O&W#@eq`o@(gqM+B|(O#!R!j%S|UgUu` z$9rl{KSWqX0w&PP#x$)USKDlS5)9ByQa`lhGtBU>Y^q!Upa(8@H6gFYfYh2%U-9ycs&h^Wn@JEi4$$Z zFAtzT+@rp&f6N1+oh|q-B*sS9>6!ZBi{#_ZM&O9@asNgRnB z7QDPDmd7tVRngz#>?)nlUc-%fyTVoSp1Hm!k6-QdaeUbbU~7nF@GF7%lIH-?ruml{ z&!kFcyHOEN@&FaLtI&k;eAcw*^uq!V9ZxR^gKgc0lBQOm5E`|PD>cFu0yc3uU(gTf zK-xKoEMXwMdj&MKLF5fo?^YhaZs1GlUasHA%B+Xwby^EkbWpqZlo7%c%UlQiOME6) zp7kz=D%&nr#*5cIbXvP0>PFUlYX<+KOWLw^2Jp*?B2cV7q^9HJoTpF*Yx{r^_i@q^|<+Z9sZ%jPl3zn-DW z#-i5379Q6N!ul(XUqg7b}Kpjn#3q> z4>}X-A>~x;AHv9)uSegE*V)c=rPAz^bJ3=4(m|<0dV(!bdg*@&;~=}2wzt>V#c?$> zjbC0m8mi&t3HFOGJ*h7e#{R+)1+3o=O7$Q!7rN%e4B{LgYo|C>3Bz~C8YY=$k>zO2 z#upWAqCabhf-$3qdTEi6MFpKy>TOiQG>u=G?g`;mTV;9|F8Rj-svkg-$?>lXbR}GG zLBkJ#CfBE3<0y$=jxR|TpEsuXmut;Qzy1|71i6UIh%nr{=x{4@BM#!i<)Ewt1m3aUC$Vj-FTEBS!1 zIsBS?jNYMo%{8Eoa|}>GHXMh#3r04h|20DIQNVI$c!BU!F##_w`TWDqgX$E1CDH%d zirOz2VkWiIVgeCEqV_?kU$$#XKNJ+6^LTr82>h!Q@jlzih3*i_Cp5W4O~~_eK+92V&~0G-=%)bUmzo zy)d&@%fY`cXNMyt9lxCJrSzI5$E6l3nP&+7(3GJC*h(XTpr*Bv3M8W8lPUfcEEqx^ zg*k00d=>W$sWSx<`k)C-#$;;tI^}a72S=;r`YY@L{RaFCM68P1a8A^mfnU}H3#{|T zR0*|x+#9Q`!ZYl{{TNgIuZ>Di7Ok@9WMc47mTd3=(en6(N-tBBWPvO*xuXaXr)bLY zujS@;#VbI&5J=V00TFs{tgw_)rC zC@02V&Hq*&Y+GDmJN(l*Q|gD$1GYfB9;HulU~E+1q>ti>G3WPHHP{jVL8rwkw` z$hkmzk3+-1v@!6C>tIukf1Rff+m~3*pn4Y#2^73$42INPvh5zsN!UGQ7PwCPE*sl&?N-} zoYDU}7rLS>5j)asT=Fjg2ndMZNg~#2=*^k$658KuN2%KmCO|7dsj%XiD-86s^@PL2 zO?l6vz)MeNS7sVZbOB8zbFh*`;|%;dnu)l=Arw^5H)O&;fGZ1m*(clJ_%~!>_rM+Gm9Na18bQRms0X>Y0p?_%CB>y0BH=k6rL7zgiC2FsWXl z`^Bvm`0}UpM{#R<$HyRRnRA4Bj*eQu<*0kqdIEU^ymCbRO4I~_)amSV2LHkxqG}>` zE)4xp=)T6$_(N1PsQdH%uO2$8;1k5D2K`W=;2eEyp;iM~hK)_tEpyKCOH}t|XRmui z?+n$Eb3a3ktt?9;@a<{*Ixa`SU2kDre~j1P0nYd-yK4T`80KpR|9V09r)t`f+1@bw zhIT%!K0)tu6!!ab{V&B!JFDC&r@)HpjIFl;zN1 zi!hb{itD(1O+)nO2H6q2Cg1;}t7VW48}Q^mqZq`ymg8R|^g>yJmpIj` zI3(^OS6^h5-r#{T%=>%U`GS7~v;rwE6h^-QjWD&fahPq>s|SInr?byl`Zu=4Z%#Xc z;ky7f^EVlrVdU5RvWXj&vXn{JNO^F7&?u0go3}3yELBz${lA4xk^JwKMPwyZ}h#J))kg zI6+_C;5w#`u)j+N?41wJ&U?sfX^(h=6dzimryg>Ftw3-ao;f1^O7CkSYjU>l%s z)HG)J*ApaJ+YJ82+IR-h-bS3F=rU2j?9Ci(Z&WM{9sUh?PK2L!2sNZcfnP2$+s5js z6Hugc{Ob?;#{>0zZH#@G0V&+Zr^;(ovZ`cPEA%_m;;4}bnq{}TJkS>02j)jl8Mvu z|JEbWy_M8#gm>`nRmLuuJvth`)r_$}pPdWo-#AQt-*rBW`$5C81;3gfdd>D@6zZs! z8M}vi0LOul>k)}@0tYdf7qbE|YJ`zSt&{nY{Hu>!Ek(Z4b9%z`q>(dEvBwDPSiw0{@pS|K zdZk??`%MS6yG_upGqjE0Ya6XBnT;=xJ}>@h`(mqj9boH1c>22guKXpZ?FBe#i^1SeL~CsE$ons4iZA!8JPj>V}tN zKYH8sZbK?NU%jXgkD0e@1rnXXja8Q!A#ujuW>(wAci9a7b&x7kMJ?=%)n!$G1K@k6 za2q;exOqGK3`1q7ct)Wz*-KWY_`iXjqR4pwy^p0dQ{J@XUl$c??EXiQpUbIc%;lj; zWF$PFn0hulry2gmfmSi#@;DEC&UG+y4xX;StH_5l_}3M{miKk!%t?t~W3!IYN3kWs znab;jnok6)?Xc`S>~`1C z(e@1g8WkvjlgK1iHol?-BVs!(N<*@EK*L<54PWUg3yvwf$X9qzHoojRPnzK<^o<7{ zQr4)kjl><&FX6@C+Um>B1)uYde$qZ-L+0(3HIl%%&fRcT1V)RGsV&p^6$gGDL@Jp5 z-3$@C(MF%>s(GUvX%4@hqTwjY-3Jkam#jb#rM}dJSBR3QaUDypjbuRyb@Fbm{|sg7 zkz@^DE!ex}kvN|7T-KM3i*!M=0RI}6c|-Ks-q!1hy~KfEw&R98eqGCVh{}+jWPd}? zi0ZiUgT~kC*>FWXI?STk>jd>;I=vXWD5)9#6^4=H6#bcw*d^u+{{}3e&o-l!?biX& za+=0bfq;L#qQ(kC@}7H<520+^J7UHIm~NyLJRf*+Fw))A{3|#sLG4O4_i%?Pi5M9i znDPvv_G@wE{2TvV&?9Q%o+R5vzZBILns;wfUt49mBgk_6>qAZ8jy;m2byKy5bCO!0 zY3=%ES$GEj`Y;%PTSM)w0x^uco>WKaQ+vsQ;*rWc|MHFUdY|jJz-3rlO;=JTJ@_|D zX5iO5;zUs$FWIA3(FEqph$)YY2{<}1gVX&l`nm>4TIVV2gpkNG$*o*1O&g{O%R5kjR|a>ssDCPKmDiE{>jW+1E)%pXQyZt0 z5qePsctJ|NBadIu-X&UD05S*^Hiq*gp$HT+^usy#)BFZQ_P-1xVu8qSG8_Vg3 zb9&mg5hPPo9B^yZN^BYenrF@_oWieJZ)+#Q%htJWIIuuu?q^Q~l>-law|bWv%Ep&R z*~%~l-1SJ)I~?b1xN#ReLK8F(KnDB@$#F^iIzzXUYY15a+79qt?RJjy6{ffSxg7sm zLEEVt{0mFs2ra-t>63KC?G}0njRc;#PQe%Mjs>6CWi)qlLc^%^ddqPNOw(iQv-0|3 zIsIJ9;5Pd*9n|Nu&dmUY1MO%$jj`VBb$ay`^m}nz+!ZwLq6=cB1tRvQ^_jT+ER2YY znEa}Y|L}dp&f0{EoIuNL#9)sA8y&vUcTJ9ey-EL$eCQmu{2ci(?HYxV<9~@;)Bj^! z&GE0@);6>US-qcLM(!vyfy4ofq@|jj!vS4Q|_G zvI;uFWqxL96Z*5=d$2J+jb96(T_8j5M|~)B`1Nu2o^{xShx{N`FV8$@ZGqVdwxuUv zq?e@Tr#^<7A3OzB<&?fb#TyV8_t{`28)TlhVH8i}049w@o_}3H8GpMd=ExhFH8zHW z%d~O;L@cKto~Jv-EqbAin&g7Sui@GE={Nu*V>$lyAw`9|Oz$tPq2=v0meKF-*7`xj z9ML@g8boDPrFalOB;{+w4vbP7R1quBzaD4kw#PltSGB`R%`3)!_@fSoYRVn)@D%^z zbKaw5a+%LrrEa1LbDoL(>wM0rn##skS~+HBreI9HK$D_A>>8hak+9eZc|M0< zak{AbWA`W2N)R8|4y7i1DFl3P$8{|EoRbBbI3Q4O^fy*P&veI(#f=|sIBL5^aV&g3 zI~U+z)E9HNFD!IuW|%zGS-@;F(bChVm$UI~y&?NwhfoAR7bMgPwUh8(;8mV7{IeYg<8+pS|POH2Pl` zp&#C6! z>L{mNkgfXCyncAZ`cy|1_wCuE;=Nel7p`>E&EjIbeyj6|y#EkxLw>vvDegaL+=MvX z9(l`>LZYi(&G`@CVUmB9>{h)p&u?^rM9AF2y}NVxrQN|hVpd3h1ocLy{`na@ClFt) z`Z1QnFX)H5JM^stNOh!65UqWRU@K#`E|kwZY%Cx?VLvPbk3GwxE`np!x=&)wKT*_;r~Uw?t!2W%L~k zaJ#9Flr7W(T#NlB|AJdX;@1(WA3~rYkUjxVSDt_2R#8Km2&*S(Jzr(A5o%L_P4b?z zSUE>>D|dsZi^0S~XBz-*Bda=i$&lkgn&w|~U|qQ253PwA3+SzsFIe)>?BD4pcwMV= zcg1KnzC6m~9JK2WmoI`T5X-*gO5B41vOwCkdnSINY*ruz$0^ym0z%VlOCMJPrZb%5 zUj-}Z&~Qae?`F&S_91t#(W8D#9BHYE7ROPCbGe>91x;3;uu_Yv*p@pY9Cfc0)3C;d z^;>XGi##W;m=?H<9kkgz+DY^6)td^x0%4)n2D=%}UZ+>T7P4VQx+JJROxwiD(Ass8 zdDdr9boMtt_g*%>q<{WAJ!{`w*aZEsXvI3$A*cXQdxo)7ActS)kx44|MekLA$S*&5 z;|26nmhr2iHh~zlv-L*rtQZ}#ZfW=2(|E(s)4u9d+(gb zhR#>`yLUL%ubCJ5vR>)eGO-{zfNYBE!9PzILxC8g>70$Suq{i(bet1z3Q^`diaBP2 zeX{Tf*8T^t!b`0SAvYo>(=bqlK-&PD=IfB@6!sv`mBX!lKaVNwwnQhkA40Qr2Y*iYiDEO4Uq90(wUyjuvlzWfw_$+l z4}!A)Rq|RMziiYkD+Hd8O~bfn7pYYW{78}k<@_66)Lx+2pj~I^cW4i9>0+JV*!Tv8l!WWiGvq?Ok#l@$>Gf;i;yzaj*xct?spx71-!B zXyo)m?Cs+99O>d6dPOe3-8je?&hbfMtGrIO{{`Dd3wY%TmKorJ_80g1Lpz4my#J8; zsawh5SElV1M*$pd=`NeQrF5$Qr58Le@r%QoA#Wa(QyMwiw9G+x8o!=G+QB(eDQy#0 zD@v@Y?Hw%h$<`_UH3vu(_1)o(sq@8&5R8ajOK@X&@}8K{JB?pGW=226T(Ai`&TC`F zhxqn78zWssAvrGaFFwu#(%)M_2rD#bDv2i*KWQ|>e~1kE_x+F!JtW&+dffOsG=tnx zYy@*;=fYF4);h2kB}BEuSs2mcvPI%_3)6bbV>agehd1cY=<_+sup0z~@l*PrOW~n{ zp5R%U_itQFpNVSAwOOr?zZ?dE`o4Od^}O%_zw-W#9{4v~#qdj<4ACZ8EAo-_jVQXBeTo7EiulJ#M=tz%19gcBl>QB5^%Q&#=wdfouYBR)`izky4VdH!{`EDI%!?tpA)$+k`f+n#pIWNuCIFU7-;(zlaF zlx;%I-z|TI%}{OK16XxA{-tGT7tYDbhULDT5z#RfUsSwNR)aS3*blUq>45DVQ!U&N zbfm;hG>u=NCsKXls`MYOv@`z0D>(R{sT_V4d`gqC#TCv|O)Jf-Vu>hDR-V^k9JSFA z%ki&ws9#}(yipyFdT-tZsdGJT7nP^b2uo+{gy`poVD%@5zKb~r4_Ka95f!GD?PLuG z%^Ca)^X0a1j<@ZJ&?2E9D*YPY?ugqMdyao$3q#OvA#2sLOW_sbi#w2=Pbz-f+aAgD zFF7v0bNuF05HSKm%7)io8GwHy-IT+xU*q|}-jD#kzrpL;z3q_qFY>+tFxHfoSwG2w zDzjfhbRWTU?x5O(y}R5WSbeDUoc%n9UqM9f0#QWF$vPN-OfUQ$&av!o>UsQ{r>zX% zYCx~8vk#5#ZXeW!OkRFUt0Q9C_G zo)*Uq^vkk}J)VDL!*obrnDX40?|&VmgJPkjpIIGZJuQlRQAeHc=o4#toAUiH zJ*i#GpcYCBvm)c)pm7VCq%DtM?}$r9{*BJq?5_)iCz3Es&M%OU1ITjv;RYT2qE+vj z{SE5i%UV3YVW+g$WL7`Oa{SAS`RY!=N?PUX;Oko);2@Y>H{UqZKdi-x*M&Q&$J1#vb7*K zTMARhTP30uPT|)%Z9>B+x`1DYd0z{L19v(G2n3mdUkTy%c(&{A(x`aMT9gqIl|=+Z zdqDHaT!;P_?bjBP;Ji2euq{kj(uhI^)C%L(c zP2<-rNfrA-nft;1LGCrx9&2I%2iI0k`8PbuuW?SGg>bGZlVci|fP2{vgKwKSfwp2f!DNNb*d$=&yhE$`^; zQhGjIpLTAHe3fGZSNf*!sd@g@E-U=M7Ya6~7X)k-ghd>n!0YfnpTszwwp9ElP#%`BG_$w$Vb{6QU_j1plG64OK|d z*}}HbeasVD^*yAEoo2;QQIPCBenoVv{G08Cw$htIDN??5kVg<-Q4fUr%)Ix``8A#7 z{uJ`qQ|x_yMBI5u{nK5~1rH3|&>zY1udG|XHH&AcP?Lx$5uA`Wf z@heI_?MTDdeMQH%<&)|KaaH%*o6=3ZIde{%_H8=eP)oYaeDr{FtLh@xGqBHb#qfsELAZWazI zl=*>w0|-lN;!T!J)O?5R@4Qb(ZLFVZ{`I*tvOR=+Tsg)j=|-;FRtkjWR(q$N$FE6o zl@3_Yv3&%&$o7t{&TJM7VaKH}TaVf1Tk*D#J8o=i^qR6h3`QH>1pQEUyR5>@*pmfG zexw|=dU<1}KD2cL7lw<{)=hVgfAz?}p3dNMhv{a(?kel34)0|GPb`!f*PM@Ovc=!; ziYgv@p$&B%3PpW_*Nr$=s+7H-F=byn)I#AR11%)6@}$dp=zWmT`gCos|J7o)u_W(H zltff-=?glxrL?ORJlTrA`N7#S&63}hEbvm;%2XFM7B;4x)eo^+jyH|`es$lp|1e#^ zNl=`l+tr3GCl)QLauaGl3+sR-GIva4$~@XCDnj}?_H%iV4*oTSjxG4a_-j0b%ui5P z$mTv9-L7`_90K2|fX&#Hcpf7BE|xh5`XRfbpv(a6lD5O4zyG*tl3$GhzgmG`mOQDq zAkD^=J_{)9VaW63)US7&NpYX;Dpwn+Ri2aeAKpTs9(&AfWXY1xsYR3udo}#?+2;)Z zAtgj*I=GR++$Ad9deAM6h}d&I&Vt$a3YL8+wnuwLq0T+Up|7U(+gJ&Y#4LWjn_ZWU zuhBV2#g+7uxbwqGY(oq7CHBQ}V<*w|aKS%6bsfqmZ(6O0;Gn3U?X+i0K|4UG7*A*C zLi*>y0$N?Wpbg@n*_p|i1Pw&$hn5_d&^Ba{$mnucF_;WnH^v%Act#|A1hJF(0sn%d z1AF>n;U2=)r$)3$BoTwqkzmhH#}}9jw;GHxM;FOFA8aX=#x{uFrQxag%JjcPAGxAx zq}fjSmpY@BXK7LZbHnO~*R;ql+dcO)`i+hGnpD3*6Lz3=XP5dmO-M$TQa>!r%*Afh zhcEVmf2Gu~(Fva6l@dV$wvkd_%Ffqv^Zm2iN0v#nT7U}Uw_DR9p|`CZa~ z*oN)c4ijyZEo|W`6!x#`PqS){b$B}aoXh95_IS2kj(5^rT`xhCtsZtW9ZA>pcBaFF@@^!4-ASEfbs0Ot zUf@^U)|Z#MVh55C5-m1Jndcl==F%1}w}FIBYUdT?3F6=&%QSYU>cd_=z+MJ^LGCM| z=i2vM&gH6PWGmxngq1LM7v8@?5$d^Mbg(9CJH^k!hweEqsKI*n8Pn?xG+lVZPG1~FduIIz z^E3Jg?!KL4s$cpKY12@XkItA_nV|?ZXV208k?vQz)2ok#1C5X@2K1#62|I;5*84<` ze<5ccR*=K{3(1P|O!CY$U$$e>TG{!6e}iF8ZM@+DzDg}lK|&f71c0_!Hr0_R{z}0o z3bJuRwSeasPNEVHH_5-^DixY?F5ur#rM%`!tMDHxH_F7Aq6@T=@X2U4zU%@xv<33d zc;zx0(r>dcap$QkveLrDP3wmyaIw8x+`k)e^P~+SvA6N82K=(NNAF5xpHH;l1Q6EZ z4j}>Xh4d3ae&48SdsroTZh+7B(^qme4}-96kps zsLb;RjVd!4USUCW`q27Y1^a+}$`pR3m0rTQ28vVar#(NEFI>71qS9_$o#KnV=!5;99bNhZ4Ps@?vQMRp$!nWmR*Da1s%`r34Ry<3^`!`B`HU!cf|LW&(p)|W%)MKkYGV7Z&UYZ{@ z*Qd}3OBIIXb$Scl!z{yd=&gTw=QCK(ndcmw^+;@+6D{KwR4phA zlg4)%hdG+#HX6<6v(MS4?F8FK2)4u5)yG6d&-MQlezOhclQcd_XJar}mZZlTy}?da zI%=UTOdCrJUuwo)FH|;p{?*UkYk~IOqrOWYzUGf+4le3}cI1RS|AN#B+lEla%yF4O zU|O>_a~#aj+w)vPvD+MQ6mK~)A|0_Cty;6kYrnQxgo$yr1*(Z@SQXGm-KJcH#<}4 z)xL;dWxRa#HL*T3pyKv?1AOv&HfKuz2K@8PwMD*`ghR&`YB~mUY1PiQ zJpXdA?NznHNH^lO0Ms2@4ZkrR3e||tnf!|)qUwTC!aigVTGkn3dFhL^xWSuVy`1Iv zS26uW!|DyOf7c#vLmBpE_ZbbnBzyH=@UP!tyB5&u3cV^8hg_Q@uUjzEhg{#B!N2Hz z>qc=+9Mg1`=A{7HX#xCd!9k9HN%wXA2=+m*DDM#m4qh{E1e!mK&Aza6m{QsKlKw;Z z`BOlm)|bW8i{8UDj#w9Tv?C=UVSdX$R0jA6Xf;27P_uji;qEE&sE zKa3Dwo3PY9-wKO(sMA$w&r0wrf~Ryin^$}1?2z$(-@XdtW=acxdTpFjs4 z(buUBHLaspT~EEDJ8HDEA&QEc-{W9P-w*{}-f6j$(O$O5irQ{rB({!PoxH}x8cSz$ z9q>zu5FBn63sN`6ic(}0;;@%g%RN;eO8@)|P}P7|9@%Inx%}jcqMcYsYKwe6@wsrz zvu7pdqW@KZhLP-lWtlutps7F*82%KK#7D_uN(ldolxZYQVh&gq9E6lrmD zcusWei*(`Hrfqy~w zgElxH2Q|Dnrv3tqqkD6(3$>pqpHF##MjZ`LHtJo4Ut=8{M#K~w@k#v*+UrR}sQpH~&mAg`fSx3Ed40$Y5Z!9-q zn~!%}I`l)fV_!GPx(@F@%;<+NWuLQN@M`?WaY%yD4{3{K@lFY35_5x6lgV61@~oQYr9whW)l9(4ho@JZV|`x8y@?YBP={NN~0Is50IAblwRv>9#cL?+c@5~@m)5Tw(^BG zh#35SMZd67kBw%pBl*`$Vt>4N6#H6U7KhW)e^~cf`z`2*-ILP~kx68`A&%I=MrIqv z$hX=rDJuv$VG6%^!KdOAu_UAqtB2@RmVbSpUW(O^7!yiPKa7E`gWk%yXh&Pw5psXU z+`71WNc~RUKi}1ROtLM`#t>gO**Hk?t=hfH^{u5gS#s>5vNR=h%ha7=PLCw3PJrzU zVktUk{>)y~ouL*`w)$XQ3{}*ZT7Ot4Dy1Hde<~OHb;7A$Us9p^`x#Z3ceLrzcYGkmHaVqRwE=*y@AqTym#X z`3^*#?!mJBl zY>CA7rhyL8>~(@LFs zz^_Brj|Z%@W0d)QPe*IztzJPUsd%?@1r@3aTg?%`F7OFitND7HD&u@`|~mP|4^ z|9n5a*}kN&Wc2z)bcL@>ct;{{xTSx?HRJtKuQNqPTp7ck@~0pD zWo#FNEooY=i$1L(;}W`j100gN%vLr$@T~q#y9gVvv}bsQz5TwzjoIs9|FZQvaZ9{ICph8tID?h@GF}z;!5+<6m#mskSAdlJCvFj$RhGrXfhq!@+^H zb6ll7|N1xc7OsCsT?Znz*oMAwg9j03f3Y?1-vIxj3e7QgM>*{*uNcBy)Ny#I(ijD0 z@yjZEQ9RVLpvgDvfR!SFq@y#ARtmIjEjf{*3+?uwV+m(EkGe>f^;@P2=q4q-$i&SY*<=D*VYc zV|o1AL=k&YtD`qOs&yt8jG?W3jvq}gO7|ulac;_o2>!+3A#W|hR#}o>K9HIZ=;$o> zfSqvX@T&${qL({-I48osZhL%8Is!d5a%MOiUm5;|Jod#{(CUdx$_4$Kl_|v{4`=;$Y`k4!_Z$hto1A{W?Ajm`@(0l^`Q+e{K%l& zt;ZczRfjG2D=&zL<0M}m^3z0P-oL?K6F#4}m$}W0Z7b%u=BkA}8TJd;*gdIf{Q3>F zT#V~2^#Z>t>fLM8>IHMst`}<)dHmXktR67E@oOwP-{=RGuB`IdpU1o>B02u$)xh5g zs;pNz+OkX-PwetwQf}?KVKU$US|i{aRKSIGEini#tS$HgJw}$mJDGF%HLq|>tkxCZHQ#8K<5F|_VP^j_86gLupz;i1**MWV z-W%0t_&1KzPBA|YZ`fDoY-z7p5B@bz>56)KqTgd<+4u^Uo#UNKm2LF0Vji(QgC}5= zYX51}Bb)5`{mYQkZ;heb^({%9MR7W^Pw&EX zfQ+tHYG>+);zV3#b>Pos1p&gcS(INSgu z$F*vRGVxWepg!awc}@XA*5H4OhMG9c-7s>j&+)HJ|EmRPRUjS=d4nLpn%M7KuqL`I z+?b88jQ7lz({XCT~WcyXTfuliPH@KlJ7OTE5pCs+}MS8binn|0Q}19hYTb^6{}q?rE|KM5O5io{%8VvF03ADrU+^C$mW1Fx{FLN>hNEO%Q-EI< zODyLpHHTlj>6vmRh`IPKZ#S!(LB#ghzp);py_sUk8Buch)z2=AXIr4$PSQN_S0k9Xa~WJ- zo675lzt+Jd{S%c zzYd?z&V}6n)q&k8#(d_~W8}TT@VRAE5}p%c`TbvPTdW#b;F!mL90ULQUg-_AO@Od$ zX36Yz zNUkxdAEH`*2{GsuC+)r!C4I&|fnxHEcgqa?!cNHw`-PDDKdfz5g&q9Ps^TDs*l(Tp zg->TOMehFs)V>G&DxsaCa)aah?C0W_!u#$M>+<;ZLG&oAI&0J{T0;@V8qpJS?Btbo z)HHsT9f2mZEaCc=0sn^P7bS7E7k=J=xPNB5x3BTvX=xPqX)?gj4PRnuMB?0`v}_;V2=U+ za_K7Gh)4koLdR2TCa><*%%?bf1^fm{$>A5voM@eO_8|{si2+Yfk*c2TIJe6|4SfF z2jq}dJeH>a)85qw$5mbT^WMIdpR_CMt)z7~RM4jnV28@iO0pphvVFal#1@>eU>n?w zr}IqxhdTounRZ&3QgU}C903B0k3XE0bk@cRgg8_-3AltwpJdC(Sb!&G0v%{k8AF`Z z3?dQ^{2M0rBKN~aJFTHFjHW(}x>e%dO8=qRt)WjX!nQ>t0}OT; zs%7WD(pWyV$*VdW)AU$;*+{IEWg^3#_`d9OZeOtdRm`GZtJHP$0EQNl)?u*m7%SWV zYM~wQC&Xrp@jeb*kKK&-0bx8(>*fAKdS31~8*Xj-^RA!Bm8FK$?O&G9%3dU*fB5Gr zT8H^-pNd)-4b72LLqE%|D)PKx!eDu+;mLCT;%re3*!H3qClSbj%$+6@>&?Ob3jBx9 zAS`Ny9owk3(63T|8HwTjDcZ|;o;nfw4_*6C2L%t9xv? zQ|89&I*spQey$tOhVh36wvfV@YnBPj37xuQh>fYUzJ7J8@NY;A(NS<3IF8rP z52H`(2xHah3(x)%h+bUnCs;vJ;O&oA#*NWU<4%OKZ#K4j_gI@rQ??ai0A} z$&b|+sB8JUsn|+&+U!om_YJktM+V{n?rfU&?JxKb2~;~0nW6u&S6>TX_lIMMNGySu zz5TB~W0a1iR;6$(WhvPP0_pENv{jtGc2yr{$Yz(nUIEPx*~^T2Rb47ywcAFV1KK}C zT;)}dh4$B#B!|JmnL3gMvrX1&ZRy z1>p5q>M<1xi_I5-*~V&kIuh{L0NrU~U57X#3?u{@exvbClg}n2Bcjh=(GK+;K(q`AJ=DPd(s*teWLt&`rpfl#Va$DwAr|o04Kytg=Q$GV5z~l2#9(_v z`>?pmY*-rZf4xcXiVFrm|6t^&+ONlM--_e&@A3Xu-_>9D^Ka~mz9oNEa@O+~$)kzx zDbUtlo{xTn}{AKRzmv=OmslNdkOv$2L?L78F@rKY9H9p zaX&nPj-GB);6J3^EKXscpwlMvSLCpK*X&(;_4wMd_zgREDJ_;fU6?Stc#-_E39m>o zJpYxJ*iRYLXJIA5ZCFJ7;Ysspb=ep;_*7H4E7N3l~FFqbyJ&r^i z$3y=i-sGjFx2P!#i8v$%67t*Rm-fZ3_4&)7hv*4&i>$RU#;`}G)LCOBu!rGPo;YZb&uD0@f!z|+!qJz`jYy`;@C*rOW3%?nPRzWdBZ_} z|BLfSK~s(|F2&x&n)(?9zJi~oek~WzH9UoyI`cF3zvL&>{b=V&yjJE#{RtRiL+|OQ z=#R!4-ax;*GTL9!{#oQ2Dyi?$D4gE8`x;Mn;6#`e+XHSg_?h89G;qdH;!L_tNE#!M zb{^-K4J3~pyCL8&qy^AQcFIIoV!J`6GXUdCX90my9)C&1Z@}9uVNKX*j||1p7mAa! z5tBp2?f1{&?7#A_Ho`V|8UOb-rgw}n_PmAsrF=Ys^QR?vJbKl4RBU@OzrU#Wm<=Dc z2mZqo_FXW$V!I+=)n6Cwv*`mv&$R87h^Rjp+F#Qqn~HFK#Ip~J(s7K#cy@?N0!$s) zU*CdOE;D1h+HN$wbXfZchv1GQNG$LlzOTYcI*H|OCxU9a?U}g*JCwRRkPa}gzn&EE zvlUT`kJG>8>3uFTiouV1&4u>YUi=ELO({f9sjpJ^Wal{68;9rszof~xzoM81<+73V zF7-9qx#bKVX6FnkZXQ5w#{neq`dao8wMrPW)w7sJ`UWlM#1@dE`zn&4u_a)$BdX2tH zmmfyGZsS0X$(?iM{Pi4EuVH-AO!1iU#Tlnw$1>f!P_NK`NW+adj6JCShxxK$;{AF} z>+F|}))|xp_Se0%vqudNs@oj2e-+7a_R2q?O13}=)7oi4G#O`d)sex72` zIM~W3wk z8K)+^1_Vo%2H76ukNN=f2BK>?x8dnY-u7zeSP1maSm-}|zl8WhmRU}3V@`TmPQ4oWI4|~$a4$7zw+zk zn4BG061QQKowlkVdck#f*DZ*jmq#UH3mdUc_!%B!bS&aN&rU=Hx%`z@_7aW*u>bHd z-fPy&j|#w}u5ABnH-?t+i{gvuFvS+s3{(6vxX$8fEn!@?hAB@DHr}QkX7^@%j`HSdB zG)k>>_f~a`9w7w(v;Fl1d~y1q>hYJ^{2~?Aj(CjPbPT@^i7K=0*^#f!g!b3t=Cs~D zwrFo;A6)LdjS}l6#8Kzt(06|=ddh@0alNJqX#pgr0?tjh$^`KEOEK7gxO!W|EY`hn z_?P0&JWoA)FvVndm{pAHq*? z(Fpp2=WvX%ap<7naftI@D*BXVbShC=Vj<0QW|~mJtimEh^|!g>r_>I=4P7c1&qbcW z%#R9=m)l?OFG7SQTP2wAQFn*PRuX{cKcuNOkwNjAahX;>;ebETq7#Z+a=zW=-fOBa zx?R~Ut~Zj00oFMnxt%tzL5bbva3x7qF=ftd)U_gj?gOVpn(lQZDHd&_B@~q&P-K)# zYAET;Oy#0CE1Tu@W^%d=kW=E{Yf6xXWR~8GrG22dz<=hP)}~-_U>YMnkecb1NMGj4 zXtt0qZAd5Ue4r|#kRRXB87047ab-Piw38Vev~<8A!1W~TL`K6>(D_Irf#r!t=oK>HPmSrXxcA7CN(t#RwKzAy5z=DD^eoy{1IeQvQstLu;T}07OzQ+N$K7691V+ z#DX8o**RzMw^To(SXlpYiT6BHSuInDn``wvW`2Ob&0q=!2oCWjiG@;ofU1JHOuC z5``9SiJ&jCnpH@d1A3wuf~|#Gb0C)pWUS~WWeIJRNe0|ZNlV$J3~-6?`>RG-BlA{s zDq2G>P->KGP@<)xy{7OQm-zRZ z8c?cEs{Tj-l+e$ap^X1?|2i9wXk9XH ztxq-EUK`?>%k;}rv_WXx568CXG zT1JV}S~6@8q-@RV)14Aug!M}k<@$A;I!Jdl&J&6%U1Hr}Q?oY3AkQG$C32$4&}{!Y z?a^%$Um3h^H2E-tj0IW|4Jb(n|6Y8EK3{A$?{GoVEaY0V@eN$!i%^}SN>MV>nhQkQ zE5R$d1DSYaJ-uYF$keZMKx;-{*R($Rpxu?J5APMd*`4`AE?DHTgY93aJ(W9RbPbPg z@j!e_yCLkx%&b)W#lWGvi{3f9D)!3zn-X4GAMh275mxJKvJ-zE* zZ2INlnRgw~Qw{=|%Wwbk>kmA2;_cH6?!WBBJ*O|fC3mE0|A|w#1~Q5|C>}B{)@mo_ zf#zD<7t+dkIUr~U1TtrvH=LOyrAhxfL}%esW?1OEFQaQWD=qR0GcjESY+vS_*P-(3 z%;#l~pe-Y~*CpDOg~n3fqls$Z-AcFP?$njR2D&qcl>v9D#mq((yc`g;CKPBVe{@^; zY7^*B2m%?+!s=px60#b&GKL~li+0gPR4c1M(YY0gexH|_jvayyf$h$R0-^yOR|Drl z0uPvxaWkS5j`Ga|wj<))tC~-}66xJb$)1gu-4%*-uaj5K#>@V7{Cf#4uQXFXC8|Nz zy;qKyjt#J|QVrb8Q0}a}>@l?`BfzKgcsU^Gdf{=3GN5fQv{+Z95=W|l?LD(Hpl+8B zSr?~jk5mCqCf`3WpO-_TpY;3docg6}(z_SxzD1_2nv}Am3V1TqZ)vwE7tj?lFGfuL@oc2%34>dmfBKQ83Itq!_LO(lg0dNOFkFDQ#?tu$tECOuW=*6r1M$RA6_8#VDuU@aoK7ld8s|bn$uS)>3p>1A z0i=H~(Z{^puO!X_{QfFiipuUKAR4TN8>TonZb zq+t8^Vmfa0dAS0t@kM^Cyj)4gK0*IOaIb1Q_DenqWGZ+$AZP~!GT64?4Odqk@83J1 zWzsJRWIR)Fo`vDeWT6ba@`O_<27Do9U_`VTflP&gLL{igc1;#6g8h%TS%d8xtovrz z>*bUuY#Xf0E}BtrhF{V$nw!0$kYw1yAiy5iBSy#naX-qm|}rU zWwTT?lrLk@qKty5E;LI39F9lHq&uRKex;&${p-x=f33Dmy7i*+TDePTE-5%(LSMvN zTh9~qI?faFC)n^e9_qng&8#XuM?r7zIlkcHhK5i1@^|&s>N97~*nIJ75Zto*tDxlf zR>oK1K$k-hJhP|Ej@gNsv|>RS2%U9|x!N7bgsV?*(Alq}vr1K{UZCCHWqwDx9FBT^ z0t|lsAZ3ZC%Pr4UDRm(8+tg*M)Ma0({|{Yu+Sz-abLg@=Rk_vTf8GD%H`TxsI;Sr4 z_Y1mwwyEI%SKqyU`;