]> git.zerfleddert.de Git - proxmark3-svn/shortlog
proxmark3-svn
2014-07-01 pwpiwiMerge branch 'master' of https://github.com/Proxmark...
2014-06-30 pwpiwihf 14a reader enhancement
2014-06-28 Martin Holst... Merge pull request #17 from Proxmark/iclass-fixes
2014-06-28 Martin Holst... Merge remote-tracking branch 'origin/master' into iclas... 17/head
2014-06-27 iZshfpga/min_max_tracker.v: english
2014-06-27 pwpiwigit housekeeping:
2014-06-27 iZshNew LF edge detection algorithm + lowpass filter
2014-06-27 Martin Holst... Merged with master
2014-06-27 Martin Holst... fix for better csns
2014-06-26 ikarusKeep the PM3 code repo clean of website/wiki stuff.
2014-06-26 pwpiwiBugfixes:
2014-06-21 iZshnew command "lf snoop" to snoop raw ADC values
2014-06-20 iZshfpga/fpga_hf.v, fpga_lf.v, lo_edge_detect.v, lo_passthr...
2014-06-20 iZsharmsrc/fpgaloader.c: forgot the copyright notice
2014-06-19 iZshTHIS REQUIRES A BOOTROM UPDATE!! To save FPGA area...
2014-06-16 Martin Holst... More work on iclass simulation attack
2014-06-11 Martin Holst... Added enios dirthreshold command, patch from http:...
2014-06-07 Martin Holst... Minor changes, it may actually work now, need to test...
2014-06-07 Martin Holst... Merged with head
2014-06-07 Martin Holst... debug in progress
2014-06-07 Martin Holst... Minor changes in iclass.c
2014-06-07 Martin Holst... new fpga image for better iso15693 simulation
2014-06-07 Martin Holst... Added mode for 424k modulation (iso 15693)
2014-06-07 Martin Holst... Added 424KHz mode for iso 15693 simulation
2014-04-26 Martin Holst... Fixed (?) http://www.proxmark.org/forum/viewtopic.php...
2014-04-24 Martin Holst... More work on iclass
2014-04-24 Martin Holst... Implemented client side changes for iclass hack, attemp...
2014-04-17 Martin Holst... Experimenting with hacking iclass
2014-04-04 Martin Holst... Final (?) fixes to git versioning https://github.com...
2014-04-02 ikarusAdded lua, luac and proxmark3 (compieled binaries)...
2014-04-02 ikarusUpdated nameing (svn -> git) & fixed whitespaces.
2014-04-02 Martin Holst... Changed size of version info v1.0.0
2014-04-01 Martin Holst... Fixed so build scripts utilises the git version
2014-03-28 Martin Holst... Merge pull request #9 from doegox/addhelpm
2014-03-27 W8M2Hg9lLmWqXSGCWiki
2014-03-27 W8M2Hg9lLmWqXSGCWiki
2014-03-27 Philippe TeuwenMarkdown help: use fixed column width 9/head
2014-03-26 Philippe TeuwenRestore original inline help behavior as we've now...
2014-03-26 Philippe TeuwenProvide option -m for markdown help dump, -h for text...
2014-03-26 Philippe TeuwenFix description in help dump
2014-03-26 Philippe TeuwenFix offline column in help dump
2014-03-26 Martin Holst... Fixes to implement generation of markdown auto-generate...
2014-03-26 Philippe TeuwenAdd option -h to dump complete set of supported commands
2014-03-26 W8M2Hg9lLmWqXSGCUpdate README.txt
2014-03-25 holimanMerge pull request #8 from pwpiwi/master
2014-03-25 pwpiwiImprovements/Fixes to 14443 sniffing/snooping 8/head
2014-03-25 pwpiwiProvide .gitignore to prevent unwanted files to be...
2014-03-24 W8M2Hg9lLmWqXSGCMerge pull request #7 from PenturaLabs/master
2014-03-21 W8M2Hg9lLmWqXSGCMerge pull request #5 from PenturaLabs/master
2014-03-21 PenturaLabsUpdate cmdlfio.h 5/head
2014-03-21 penturalabsSorry, included missing files from last pull request
2014-03-18 W8M2Hg9lLmWqXSGCMerge pull request #2 from PenturaLabs/master
2014-03-18 W8M2Hg9lLmWqXSGCMerge pull request #1 from midnitesnake/master
2014-03-18 penturalabsAdded Kantech ioProx Support 2/head
2014-03-18 PenturaLabsRe-submitting Midnitesnake's Mifare Ultralight Patch 7/head
2014-03-18 midnitesnakeAdded Unique Code to EM41x 1/head
2014-03-18 midnitesnakeAdded Unique Code to EM41x
2014-03-17 W8M2Hg9lLmWqXSGCAdded an important notice.
2014-02-27 martin.holst... Patch submitted by 'FireFart', for some issues with...
2014-02-25 micki.held... iso14444a: minor FPGA bugfix
2014-02-23 micki.held... More robust iso14443a sniffing/simulation functions by
2014-02-19 micki.held... - fixed iso1443a ManchesterDecoder in order to fix...
2014-02-05 martin.holst... reverted a non-intended commit with crappy debug printouts
2014-02-05 martin.holst... Fixed error with ar/nr-collection
2014-01-31 martin.holst... Minor typo
2014-01-31 martin.holst... Various improvements on the Mifare1kSimulation. Fixed...
2014-01-22 martin.holst... Fixed (?) issue with mifare simulation auth failed...
2013-11-19 micki.held... - improved reader sensitivity for 14443a cards (FPGA...
2013-11-07 martin.holst... Fixed issue with binary files in windows, http://www...
2013-11-07 martin.holst... Fixed issue with binary files in windows, http://www...
2013-11-06 martin.holst... Added a lua bit manipulation library, added Lua-api...
2013-11-06 martin.holst... Minor fix, sometimes when data is sent without the...
2013-10-31 martin.holst... Minor fix in html skeleton
2013-10-31 martin.holst... Some more tinkering with a generic 13.56MHz reader...
2013-10-30 martin.holst... First steps towards creating a generic HF reader/identi...
2013-10-30 martin.holst... Changed html template, in order to make it more generic...
2013-10-22 dn337t@gmail.comuse CLOCKS_PER_SEC instead of platform-specific hardcod...
2013-10-20 martin.holst... Fixed linebreak error
2013-10-20 martin.holst... Added functionality to dump data into .eml-file
2013-10-20 martin.holst... Script to convert emulator file (ASCII dump of data...
2013-10-20 martin.holst... Fixed minor errors
2013-10-20 izsh@fail0verflow.comcmdhf14b.c: fixing a crash in the crc computation when...
2013-10-20 izsh@fail0verflow.comfix compilation issues with gcc 4.6: anonymous unions...
2013-10-19 martin.holst... Changes to how dumping is performed, now utilises a...
2013-10-18 martin.holst... Minor change
2013-10-18 martin.holst... Added script to dump ndef-compliant tags. Written in...
2013-10-18 martin.holst... Put manufacturer country within brackets
2013-10-17 martin.holst... Some refactoring, also placed wait14443a here
2013-10-17 martin.holst... Manufacturer-info, to be used in upcoming script
2013-10-17 martin.holst... Changes to html-dump generateion, credits to en4rab...
2013-10-11 fnargwibble... add linux modem manager de-confliction file
2013-10-11 roel@libnfc.orgintegrated MIFARE ultralight features, contributed...
2013-10-11 roel@libnfc.orgwith the declaration of 'uint8_t destination[8]', ...
2013-10-09 martin.holst... Fixed issue where the 'nested'-part of autopwn only...
2013-10-09 martin.holst... Fixed error with mifare_autopwn where keys were reverse...
2013-10-09 roel@libnfc.orgremoved unused compiler directives, cleaned up some...
2013-10-08 martin.holst... Committed mifare_autopwn.lua. For more infromation...
2013-10-08 martin.holst... Patch from 'buzzy' to add automatic flushing of output...
2013-10-07 martin.holst... Added nonce2key-API to lua
2013-10-07 martin.holst... Fixed what may have been one cause of seg-faults in...
next
Impressum, Datenschutz