]> git.zerfleddert.de Git - fpga-games/blame - galaxian/src/altera/alt_rom_6l.v
galaxians
[fpga-games] / galaxian / src / altera / alt_rom_6l.v
CommitLineData
782690d0
MG
1// megafunction wizard: %ROM: 1-PORT%\r
2// GENERATION: STANDARD\r
3// VERSION: WM1.0\r
4// MODULE: altsyncram \r
5\r
6// ============================================================\r
7// File Name: alt_rom_6l.v\r
8// Megafunction Name(s):\r
9// altsyncram\r
10// ============================================================\r
11// ************************************************************\r
12// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
13// ************************************************************\r
14\r
15\r
16//Copyright (C) 1991-2003 Altera Corporation\r
17//Any megafunction design, and related netlist (encrypted or decrypted),\r
18//support information, device programming or simulation file, and any other\r
19//associated documentation or information provided by Altera or a partner\r
20//under Altera's Megafunction Partnership Program may be used only\r
21//to program PLD devices (but not masked PLD devices) from Altera. Any\r
22//other use of such megafunction design, netlist, support information,\r
23//device programming or simulation file, or any other related documentation\r
24//or information is prohibited for any other purpose, including, but not\r
25//limited to modification, reverse engineering, de-compiling, or use with\r
26//any other silicon devices, unless such use is explicitly licensed under\r
27//a separate agreement with Altera or a megafunction partner. Title to the\r
28//intellectual property, including patents, copyrights, trademarks, trade\r
29//secrets, or maskworks, embodied in any such megafunction design, netlist,\r
30//support information, device programming or simulation file, or any other\r
31//related documentation or information provided by Altera or a megafunction\r
32//partner, remains with Altera, the megafunction partner, or their respective\r
33//licensors. No other licenses, including any licenses needed under any third\r
34//party's intellectual property, are provided herein.\r
35\r
36\r
37module alt_rom_6l (\r
38 address,\r
39 clock,\r
40 q);\r
41\r
42 input [4:0] address;\r
43 input clock;\r
44 output [7:0] q;\r
45\r
46 wire [7:0] sub_wire0;\r
47 wire [7:0] q = sub_wire0[7:0];\r
48\r
49 altsyncram altsyncram_component (\r
50 .clock0 (clock),\r
51 .address_a (address),\r
52 .q_a (sub_wire0));\r
53 defparam\r
54 altsyncram_component.intended_device_family = "Cyclone",\r
55 altsyncram_component.width_a = 8,\r
56 altsyncram_component.widthad_a = 5,\r
57 altsyncram_component.numwords_a = 32,\r
58 altsyncram_component.operation_mode = "ROM",\r
59 altsyncram_component.outdata_reg_a = "UNREGISTERED",\r
60 altsyncram_component.address_aclr_a = "NONE",\r
61 altsyncram_component.outdata_aclr_a = "NONE",\r
62 altsyncram_component.width_byteena_a = 1,\r
63 altsyncram_component.init_file = "6l.hex",\r
64 altsyncram_component.lpm_type = "altsyncram";\r
65\r
66\r
67endmodule\r
68\r
69// ============================================================\r
70// CNX file retrieval info\r
71// ============================================================\r
72// Retrieval info: PRIVATE: WidthData NUMERIC "8"\r
73// Retrieval info: PRIVATE: WidthAddr NUMERIC "5"\r
74// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
75// Retrieval info: PRIVATE: SingleClock NUMERIC "1"\r
76// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"\r
77// Retrieval info: PRIVATE: RegAddr NUMERIC "1"\r
78// Retrieval info: PRIVATE: RegOutput NUMERIC "0"\r
79// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"\r
80// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"\r
81// Retrieval info: PRIVATE: AclrByte NUMERIC "0"\r
82// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"\r
83// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"\r
84// Retrieval info: PRIVATE: Clken NUMERIC "0"\r
85// Retrieval info: PRIVATE: MIFfilename STRING "6l.hex"\r
86// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
87// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"\r
88// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"\r
89// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"\r
90// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"\r
91// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"\r
92// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"\r
93// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"\r
94// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"\r
95// Retrieval info: CONSTANT: INIT_FILE STRING "6l.hex"\r
96// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"\r
97// Retrieval info: USED_PORT: address 0 0 5 0 INPUT NODEFVAL address[4..0]\r
98// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]\r
99// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock\r
100// Retrieval info: CONNECT: @address_a 0 0 5 0 address 0 0 5 0\r
101// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0\r
102// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0\r
103// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
Impressum, Datenschutz