]> git.zerfleddert.de Git - proxmark3-svn/blame - common/lfdemod.c
Merge pull request #43 from matrix/master
[proxmark3-svn] / common / lfdemod.c
CommitLineData
eb191de6 1//-----------------------------------------------------------------------------
ba1a299c 2// Copyright (C) 2014
eb191de6 3//
4// This code is licensed to you under the terms of the GNU GPL, version 2 or,
5// at your option, any later version. See the LICENSE.txt file for the text of
6// the license.
7//-----------------------------------------------------------------------------
1e090a61 8// Low frequency demod/decode commands
eb191de6 9//-----------------------------------------------------------------------------
10
eb191de6 11#include <stdlib.h>
eb191de6 12#include "lfdemod.h"
05164399 13#include <string.h>
6426f6ba 14
a126332a 15//un_comment to allow debug print calls when used not on device
6426f6ba 16void dummy(char *fmt, ...){}
17
18#ifndef ON_DEVICE
19#include "ui.h"
a126332a 20#include "cmdparser.h"
21#include "cmddata.h"
6426f6ba 22#define prnt PrintAndLog
23#else
a126332a 24 uint8_t g_debugMode=0;
6426f6ba 25#define prnt dummy
26#endif
6426f6ba 27
a1d17964 28uint8_t justNoise(uint8_t *BitStream, size_t size)
29{
30 static const uint8_t THRESHOLD = 123;
31 //test samples are not just noise
32 uint8_t justNoise1 = 1;
33 for(size_t idx=0; idx < size && justNoise1 ;idx++){
34 justNoise1 = BitStream[idx] < THRESHOLD;
35 }
36 return justNoise1;
37}
38
1e090a61 39//by marshmellow
872e3d4d 40//get high and low values of a wave with passed in fuzz factor. also return noise test = 1 for passed or 0 for only noise
1e090a61 41int getHiLo(uint8_t *BitStream, size_t size, int *high, int *low, uint8_t fuzzHi, uint8_t fuzzLo)
42{
43 *high=0;
44 *low=255;
45 // get high and low thresholds
2eec55c8 46 for (size_t i=0; i < size; i++){
1e090a61 47 if (BitStream[i] > *high) *high = BitStream[i];
48 if (BitStream[i] < *low) *low = BitStream[i];
49 }
50 if (*high < 123) return -1; // just noise
75cbbe9a 51 *high = ((*high-128)*fuzzHi + 12800)/100;
52 *low = ((*low-128)*fuzzLo + 12800)/100;
1e090a61 53 return 1;
54}
55
a1d17964 56// by marshmellow
57// pass bits to be tested in bits, length bits passed in bitLen, and parity type (even=0 | odd=1) in pType
58// returns 1 if passed
59uint8_t parityTest(uint32_t bits, uint8_t bitLen, uint8_t pType)
60{
61 uint8_t ans = 0;
62 for (uint8_t i = 0; i < bitLen; i++){
63 ans ^= ((bits >> i) & 1);
64 }
f3bf15e4 65 //PrintAndLog("DEBUG: ans: %d, ptype: %d",ans,pType);
a1d17964 66 return (ans == pType);
67}
68
a126332a 69//by marshmellow
70// takes a array of binary values, start position, length of bits per parity (includes parity bit),
c728b2b4 71// Parity Type (1 for odd; 0 for even; 2 for Always 1's; 3 for Always 0's), and binary Length (length to run)
a126332a 72size_t removeParity(uint8_t *BitStream, size_t startIdx, uint8_t pLen, uint8_t pType, size_t bLen)
73{
74 uint32_t parityWd = 0;
75 size_t j = 0, bitCnt = 0;
76 for (int word = 0; word < (bLen); word+=pLen){
77 for (int bit=0; bit < pLen; bit++){
78 parityWd = (parityWd << 1) | BitStream[startIdx+word+bit];
79 BitStream[j++] = (BitStream[startIdx+word+bit]);
80 }
81 j--; // overwrite parity with next data
82 // if parity fails then return 0
c728b2b4 83 switch (pType) {
11dee3d5 84 case 3: if (BitStream[j]==1) { return 0; } break; //should be 0 spacer bit
85 case 2: if (BitStream[j]==0) { return 0; } break; //should be 1 spacer bit
3c654208 86 default: if (parityTest(parityWd, pLen, pType) == 0) { return 0; } break; //test parity
a126332a 87 }
88 bitCnt+=(pLen-1);
89 parityWd = 0;
90 }
91 // if we got here then all the parities passed
92 //return ID start index and size
93 return bitCnt;
94}
95
96// by marshmellow
97// takes a array of binary values, length of bits per parity (includes parity bit),
0d2c5909 98// Parity Type (1 for odd; 0 for even; 2 Always 1's; 3 Always 0's), and binary Length (length to run)
c728b2b4 99// Make sure *dest is long enough to store original sourceLen + #_of_parities_to_be_added
a126332a 100size_t addParity(uint8_t *BitSource, uint8_t *dest, uint8_t sourceLen, uint8_t pLen, uint8_t pType)
101{
102 uint32_t parityWd = 0;
103 size_t j = 0, bitCnt = 0;
104 for (int word = 0; word < sourceLen; word+=pLen-1) {
105 for (int bit=0; bit < pLen-1; bit++){
106 parityWd = (parityWd << 1) | BitSource[word+bit];
107 dest[j++] = (BitSource[word+bit]);
108 }
0d2c5909 109
a126332a 110 // if parity fails then return 0
0d2c5909 111 switch (pType) {
112 case 3: dest[j++]=0; break; // marker bit which should be a 0
113 case 2: dest[j++]=1; break; // marker bit which should be a 1
114 default:
115 dest[j++] = parityTest(parityWd, pLen-1, pType) ^ 1;
116 break;
a126332a 117 }
118 bitCnt += pLen;
119 parityWd = 0;
120 }
121 // if we got here then all the parities passed
122 //return ID start index and size
123 return bitCnt;
124}
125
126uint32_t bytebits_to_byte(uint8_t *src, size_t numbits)
127{
128 uint32_t num = 0;
0d2c5909 129 for(int i = 0 ; i < numbits ; i++) {
a126332a 130 num = (num << 1) | (*src);
131 src++;
132 }
133 return num;
134}
135
136//least significant bit first
137uint32_t bytebits_to_byteLSBF(uint8_t *src, size_t numbits)
138{
139 uint32_t num = 0;
6b6815bc 140 for(int i = 0 ; i < numbits ; i++) {
a126332a 141 num = (num << 1) | *(src + (numbits-(i+1)));
142 }
143 return num;
144}
145
a1d17964 146//by marshmellow
2147c307 147//search for given preamble in given BitStream and return success=1 or fail=0 and startIndex and length
a1d17964 148uint8_t preambleSearch(uint8_t *BitStream, uint8_t *preamble, size_t pLen, size_t *size, size_t *startIdx)
149{
5735cfa5 150 // Sanity check. If preamble length is bigger than bitstream length.
151 if ( *size <= pLen ) return 0;
152
56d0fb8e 153 uint8_t foundCnt = 0;
154 for (int idx = 0; idx < *size - pLen; idx++){
e0165dcf 155 if (memcmp(BitStream+idx, preamble, pLen) == 0){
156 //first index found
157 foundCnt++;
158 if (foundCnt == 1){
159 *startIdx = idx;
160 }
161 if (foundCnt == 2){
162 *size = idx - *startIdx;
163 return 1;
164 }
165 }
166 }
167 return 0;
a1d17964 168}
169
2147c307 170//by marshmellow
171//takes 1s and 0s and searches for EM410x format - output EM ID
da1f16d6 172int Em410xDecode(uint8_t *BitStream, size_t *size, size_t *startIdx, uint32_t *hi, uint64_t *lo)
2147c307 173{
e0165dcf 174 //no arguments needed - built this way in case we want this to be a direct call from "data " cmds in the future
175 // otherwise could be a void with no arguments
176 //set defaults
177 uint32_t i = 0;
da1f16d6 178 if (BitStream[1]>1) return -1; //allow only 1s and 0s
2767fc02 179
e0165dcf 180 // 111111111 bit pattern represent start of frame
181 // include 0 in front to help get start pos
182 uint8_t preamble[] = {0,1,1,1,1,1,1,1,1,1};
183 uint32_t idx = 0;
184 uint32_t parityBits = 0;
185 uint8_t errChk = 0;
186 uint8_t FmtLen = 10;
187 *startIdx = 0;
188 errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, startIdx);
da1f16d6 189 if (errChk == 0 ) return -4;
190 if (*size < 64) return -3;
e0165dcf 191 if (*size > 64) FmtLen = 22;
192 *startIdx += 1; //get rid of 0 from preamble
193 idx = *startIdx + 9;
194 for (i=0; i<FmtLen; i++){ //loop through 10 or 22 sets of 5 bits (50-10p = 40 bits or 88 bits)
195 parityBits = bytebits_to_byte(BitStream+(i*5)+idx,5);
2eec55c8 196 //check even parity - quit if failed
da1f16d6 197 if (parityTest(parityBits, 5, 0) == 0) return -5;
e0165dcf 198 //set uint64 with ID from BitStream
199 for (uint8_t ii=0; ii<4; ii++){
200 *hi = (*hi << 1) | (*lo >> 63);
201 *lo = (*lo << 1) | (BitStream[(i*5)+ii+idx]);
202 }
203 }
204 if (errChk != 0) return 1;
205 //skip last 5 bit parity test for simplicity.
206 // *size = 64 | 128;
207 return 0;
2147c307 208}
209
fef74fdc 210//by marshmellow
211//demodulates strong heavily clipped samples
23f0a7d8 212int cleanAskRawDemod(uint8_t *BinStream, size_t *size, int clk, int invert, int high, int low)
213{
214 size_t bitCnt=0, smplCnt=0, errCnt=0;
215 uint8_t waveHigh = 0;
23f0a7d8 216 for (size_t i=0; i < *size; i++){
217 if (BinStream[i] >= high && waveHigh){
218 smplCnt++;
219 } else if (BinStream[i] <= low && !waveHigh){
220 smplCnt++;
221 } else { //transition
222 if ((BinStream[i] >= high && !waveHigh) || (BinStream[i] <= low && waveHigh)){
223 if (smplCnt > clk-(clk/4)-1) { //full clock
224 if (smplCnt > clk + (clk/4)+1) { //too many samples
225 errCnt++;
05164399 226 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
2767fc02 227 BinStream[bitCnt++]=7;
23f0a7d8 228 } else if (waveHigh) {
229 BinStream[bitCnt++] = invert;
230 BinStream[bitCnt++] = invert;
231 } else if (!waveHigh) {
232 BinStream[bitCnt++] = invert ^ 1;
233 BinStream[bitCnt++] = invert ^ 1;
234 }
235 waveHigh ^= 1;
236 smplCnt = 0;
237 } else if (smplCnt > (clk/2) - (clk/4)-1) {
238 if (waveHigh) {
239 BinStream[bitCnt++] = invert;
240 } else if (!waveHigh) {
241 BinStream[bitCnt++] = invert ^ 1;
242 }
243 waveHigh ^= 1;
244 smplCnt = 0;
245 } else if (!bitCnt) {
246 //first bit
247 waveHigh = (BinStream[i] >= high);
248 smplCnt = 1;
249 } else {
250 smplCnt++;
251 //transition bit oops
252 }
253 } else { //haven't hit new high or new low yet
254 smplCnt++;
255 }
256 }
257 }
258 *size = bitCnt;
259 return errCnt;
260}
261
eb191de6 262//by marshmellow
fef74fdc 263void askAmp(uint8_t *BitStream, size_t size)
264{
9686a8d4 265 uint8_t last = 128;
266 for(size_t i = 1; i < size; ++i){
267 if (BitStream[i]-BitStream[i-1] >= 30) //large jump up
268 last = 255;
269 else if(BitStream[i-1] - BitStream[i] >= 20) //large jump down
270 last = 0;
271
272 BitStream[i] = last;
fef74fdc 273 }
fef74fdc 274}
275
276//by marshmellow
277//attempts to demodulate ask modulations, askType == 0 for ask/raw, askType==1 for ask/manchester
278int askdemod(uint8_t *BinStream, size_t *size, int *clk, int *invert, int maxErr, uint8_t amp, uint8_t askType)
eb191de6 279{
fef74fdc 280 if (*size==0) return -1;
6e984446 281 int start = DetectASKClock(BinStream, *size, clk, maxErr); //clock default
2eec55c8 282 if (*clk==0 || start < 0) return -3;
fef74fdc 283 if (*invert != 1) *invert = 0;
284 if (amp==1) askAmp(BinStream, *size);
05164399 285 if (g_debugMode==2) prnt("DEBUG ASK: clk %d, beststart %d", *clk, start);
fef74fdc 286
2eec55c8 287 uint8_t initLoopMax = 255;
288 if (initLoopMax > *size) initLoopMax = *size;
ba1a299c 289 // Detect high and lows
fef74fdc 290 //25% clip in case highs and lows aren't clipped [marshmellow]
2eec55c8 291 int high, low;
fef74fdc 292 if (getHiLo(BinStream, initLoopMax, &high, &low, 75, 75) < 1)
293 return -2; //just noise
ba1a299c 294
fef74fdc 295 size_t errCnt = 0;
23f0a7d8 296 // if clean clipped waves detected run alternate demod
297 if (DetectCleanAskWave(BinStream, *size, high, low)) {
05164399 298 if (g_debugMode==2) prnt("DEBUG ASK: Clean Wave Detected - using clean wave demod");
fef74fdc 299 errCnt = cleanAskRawDemod(BinStream, size, *clk, *invert, high, low);
300 if (askType) //askman
301 return manrawdecode(BinStream, size, 0);
302 else //askraw
303 return errCnt;
23f0a7d8 304 }
05164399 305 if (g_debugMode==2) prnt("DEBUG ASK: Weak Wave Detected - using weak wave demod");
23f0a7d8 306
fef74fdc 307 int lastBit; //set first clock check - can go negative
308 size_t i, bitnum = 0; //output counter
309 uint8_t midBit = 0;
2eec55c8 310 uint8_t tol = 0; //clock tolerance adjust - waves will be accepted as within the clock if they fall + or - this value + clock from last valid wave
fef74fdc 311 if (*clk <= 32) tol = 1; //clock tolerance may not be needed anymore currently set to + or - 1 but could be increased for poor waves or removed entirely
05164399 312 size_t MaxBits = 3072; //max bits to collect
6e984446 313 lastBit = start - *clk;
fef74fdc 314
6e984446 315 for (i = start; i < *size; ++i) {
fef74fdc 316 if (i-lastBit >= *clk-tol){
317 if (BinStream[i] >= high) {
318 BinStream[bitnum++] = *invert;
319 } else if (BinStream[i] <= low) {
320 BinStream[bitnum++] = *invert ^ 1;
321 } else if (i-lastBit >= *clk+tol) {
322 if (bitnum > 0) {
05164399 323 if (g_debugMode==2) prnt("DEBUG ASK: Modulation Error at: %u", i);
fef74fdc 324 BinStream[bitnum++]=7;
325 errCnt++;
326 }
327 } else { //in tolerance - looking for peak
328 continue;
329 }
330 midBit = 0;
2eec55c8 331 lastBit += *clk;
fef74fdc 332 } else if (i-lastBit >= (*clk/2-tol) && !midBit && !askType){
333 if (BinStream[i] >= high) {
334 BinStream[bitnum++] = *invert;
335 } else if (BinStream[i] <= low) {
336 BinStream[bitnum++] = *invert ^ 1;
337 } else if (i-lastBit >= *clk/2+tol) {
338 BinStream[bitnum] = BinStream[bitnum-1];
339 bitnum++;
340 } else { //in tolerance - looking for peak
341 continue;
342 }
343 midBit = 1;
2eec55c8 344 }
345 if (bitnum >= MaxBits) break;
ba1a299c 346 }
2eec55c8 347 *size = bitnum;
6e984446 348 return errCnt;
eb191de6 349}
350
351//by marshmellow
352//take 10 and 01 and manchester decode
353//run through 2 times and take least errCnt
fef74fdc 354int manrawdecode(uint8_t * BitStream, size_t *size, uint8_t invert)
eb191de6 355{
13d77ef9 356 uint16_t bitnum=0, MaxBits = 512, errCnt = 0;
357 size_t i, ii;
358 uint16_t bestErr = 1000, bestRun = 0;
fef74fdc 359 if (*size < 16) return -1;
2767fc02 360 //find correct start position [alignment]
13d77ef9 361 for (ii=0;ii<2;++ii){
fef74fdc 362 for (i=ii; i<*size-3; i+=2)
2eec55c8 363 if (BitStream[i]==BitStream[i+1])
ba1a299c 364 errCnt++;
2eec55c8 365
ba1a299c 366 if (bestErr>errCnt){
367 bestErr=errCnt;
368 bestRun=ii;
369 }
370 errCnt=0;
371 }
2767fc02 372 //decode
fef74fdc 373 for (i=bestRun; i < *size-3; i+=2){
23f0a7d8 374 if(BitStream[i] == 1 && (BitStream[i+1] == 0)){
fef74fdc 375 BitStream[bitnum++]=invert;
23f0a7d8 376 } else if((BitStream[i] == 0) && BitStream[i+1] == 1){
fef74fdc 377 BitStream[bitnum++]=invert^1;
23f0a7d8 378 } else {
2767fc02 379 BitStream[bitnum++]=7;
ba1a299c 380 }
23f0a7d8 381 if(bitnum>MaxBits) break;
ba1a299c 382 }
23f0a7d8 383 *size=bitnum;
2eec55c8 384 return bestErr;
f822a063 385}
386
1d0ccbe0 387uint32_t manchesterEncode2Bytes(uint16_t datain) {
388 uint32_t output = 0;
389 uint8_t curBit = 0;
390 for (uint8_t i=0; i<16; i++) {
391 curBit = (datain >> (15-i) & 1);
392 output |= (1<<(((15-i)*2)+curBit));
393 }
394 return output;
395}
396
fef74fdc 397//by marshmellow
398//encode binary data into binary manchester
399int ManchesterEncode(uint8_t *BitStream, size_t size)
400{
401 size_t modIdx=20000, i=0;
402 if (size>modIdx) return -1;
403 for (size_t idx=0; idx < size; idx++){
404 BitStream[idx+modIdx++] = BitStream[idx];
405 BitStream[idx+modIdx++] = BitStream[idx]^1;
406 }
407 for (; i<(size*2); i++){
408 BitStream[i] = BitStream[i+20000];
409 }
410 return i;
411}
412
f822a063 413//by marshmellow
2147c307 414//take 01 or 10 = 1 and 11 or 00 = 0
415//check for phase errors - should never have 111 or 000 should be 01001011 or 10110100 for 1010
13d77ef9 416//decodes biphase or if inverted it is AKA conditional dephase encoding AKA differential manchester encoding
1e090a61 417int BiphaseRawDecode(uint8_t *BitStream, size_t *size, int offset, int invert)
f822a063 418{
2eec55c8 419 uint16_t bitnum = 0;
420 uint16_t errCnt = 0;
421 size_t i = offset;
2147c307 422 uint16_t MaxBits=512;
423 //if not enough samples - error
424 if (*size < 51) return -1;
425 //check for phase change faults - skip one sample if faulty
426 uint8_t offsetA = 1, offsetB = 1;
427 for (; i<48; i+=2){
428 if (BitStream[i+1]==BitStream[i+2]) offsetA=0;
429 if (BitStream[i+2]==BitStream[i+3]) offsetB=0;
430 }
431 if (!offsetA && offsetB) offset++;
432 for (i=offset; i<*size-3; i+=2){
433 //check for phase error
13d77ef9 434 if (BitStream[i+1]==BitStream[i+2]) {
2767fc02 435 BitStream[bitnum++]=7;
2147c307 436 errCnt++;
437 }
ba1a299c 438 if((BitStream[i]==1 && BitStream[i+1]==0) || (BitStream[i]==0 && BitStream[i+1]==1)){
1e090a61 439 BitStream[bitnum++]=1^invert;
ba1a299c 440 } else if((BitStream[i]==0 && BitStream[i+1]==0) || (BitStream[i]==1 && BitStream[i+1]==1)){
1e090a61 441 BitStream[bitnum++]=invert;
ba1a299c 442 } else {
2767fc02 443 BitStream[bitnum++]=7;
ba1a299c 444 errCnt++;
445 }
6de43508 446 if(bitnum>MaxBits) break;
ba1a299c 447 }
448 *size=bitnum;
449 return errCnt;
eb191de6 450}
451
fef74fdc 452// by marshmellow
11081e04 453// demod gProxIIDemod
454// error returns as -x
455// success returns start position in BitStream
456// BitStream must contain previously askrawdemod and biphasedemoded data
457int gProxII_Demod(uint8_t BitStream[], size_t *size)
458{
459 size_t startIdx=0;
460 uint8_t preamble[] = {1,1,1,1,1,0};
461
462 uint8_t errChk = preambleSearch(BitStream, preamble, sizeof(preamble), size, &startIdx);
463 if (errChk == 0) return -3; //preamble not found
464 if (*size != 96) return -2; //should have found 96 bits
465 //check first 6 spacer bits to verify format
466 if (!BitStream[startIdx+5] && !BitStream[startIdx+10] && !BitStream[startIdx+15] && !BitStream[startIdx+20] && !BitStream[startIdx+25] && !BitStream[startIdx+30]){
467 //confirmed proper separator bits found
468 //return start position
469 return (int) startIdx;
470 }
7fa7e812 471 return -5; //spacer bits not found - not a valid gproxII
11081e04 472}
473
7fa7e812 474//translate wave to 11111100000 (1 for each short wave [higher freq] 0 for each long wave [lower freq])
f822a063 475size_t fsk_wave_demod(uint8_t * dest, size_t size, uint8_t fchigh, uint8_t fclow)
eb191de6 476{
2eec55c8 477 size_t last_transition = 0;
478 size_t idx = 1;
ac3ba7ee 479 //uint32_t maxVal=0;
ba1a299c 480 if (fchigh==0) fchigh=10;
481 if (fclow==0) fclow=8;
84871873 482 //set the threshold close to 0 (graph) or 128 std to avoid static
483 uint8_t threshold_value = 123;
ac2df346 484 size_t preLastSample = 0;
485 size_t LastSample = 0;
486 size_t currSample = 0;
ba1a299c 487 // sync to first lo-hi transition, and threshold
488
489 // Need to threshold first sample
6426f6ba 490 // skip 160 samples to allow antenna/samples to settle
491 if(dest[160] < threshold_value) dest[0] = 0;
ba1a299c 492 else dest[0] = 1;
493
494 size_t numBits = 0;
495 // count cycles between consecutive lo-hi transitions, there should be either 8 (fc/8)
cd0bed3c 496 // or 10 (fc/10) cycles but in practice due to noise etc we may end up with anywhere
ba1a299c 497 // between 7 to 11 cycles so fuzz it by treat anything <9 as 8 and anything else as 10
7fa7e812 498 // (could also be fc/5 && fc/7 for fsk1 = 4-9)
6426f6ba 499 for(idx = 161; idx < size-20; idx++) {
ba1a299c 500 // threshold current value
501
502 if (dest[idx] < threshold_value) dest[idx] = 0;
503 else dest[idx] = 1;
504
505 // Check for 0->1 transition
7fa7e812 506 if (dest[idx-1] < dest[idx]) {
ac2df346 507 preLastSample = LastSample;
508 LastSample = currSample;
509 currSample = idx-last_transition;
6426f6ba 510 if (currSample < (fclow-2)){ //0-5 = garbage noise (or 0-3)
ba1a299c 511 //do nothing with extra garbage
7fa7e812 512 } else if (currSample < (fchigh-1)) { //6-8 = 8 sample waves (or 3-6 = 5)
513 //correct previous 9 wave surrounded by 8 waves (or 6 surrounded by 5)
6426f6ba 514 if (LastSample > (fchigh-2) && (preLastSample < (fchigh-1) || preLastSample == 0 )){
7fa7e812 515 dest[numBits-1]=1;
ac2df346 516 }
2eec55c8 517 dest[numBits++]=1;
ac2df346 518
7fa7e812 519 } else if (currSample > (fchigh) && !numBits) { //12 + and first bit = unusable garbage
13d77ef9 520 //do nothing with beginning garbage
7fa7e812 521 } else if (currSample == (fclow+1) && LastSample == (fclow-1)) { // had a 7 then a 9 should be two 8's (or 4 then a 6 should be two 5's)
ac2df346 522 dest[numBits++]=1;
7fa7e812 523 } else { //9+ = 10 sample waves (or 6+ = 7)
2eec55c8 524 dest[numBits++]=0;
ba1a299c 525 }
526 last_transition = idx;
ba1a299c 527 }
528 }
529 return numBits; //Actually, it returns the number of bytes, but each byte represents a bit: 1 or 0
eb191de6 530}
531
ba1a299c 532//translate 11111100000 to 10
7fa7e812 533//rfLen = clock, fchigh = larger field clock, fclow = smaller field clock
2eec55c8 534size_t aggregate_bits(uint8_t *dest, size_t size, uint8_t rfLen,
e0165dcf 535 uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 536{
ba1a299c 537 uint8_t lastval=dest[0];
2eec55c8 538 size_t idx=0;
ba1a299c 539 size_t numBits=0;
540 uint32_t n=1;
ba1a299c 541 for( idx=1; idx < size; idx++) {
13d77ef9 542 n++;
2eec55c8 543 if (dest[idx]==lastval) continue;
544
7fa7e812 545 //find out how many bits (n) we collected
ba1a299c 546 //if lastval was 1, we have a 1->0 crossing
13d77ef9 547 if (dest[idx-1]==1) {
75cbbe9a 548 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 549 } else {// 0->1 crossing
75cbbe9a 550 n = (n * fchigh + rfLen/2) / rfLen;
ba1a299c 551 }
552 if (n == 0) n = 1;
553
7fa7e812 554 //add to our destination the bits we collected
2eec55c8 555 memset(dest+numBits, dest[idx-1]^invert , n);
556 numBits += n;
ba1a299c 557 n=0;
558 lastval=dest[idx];
559 }//end for
13d77ef9 560 // if valid extra bits at the end were all the same frequency - add them in
75cbbe9a 561 if (n > rfLen/fchigh) {
13d77ef9 562 if (dest[idx-2]==1) {
75cbbe9a 563 n = (n * fclow + rfLen/2) / rfLen;
13d77ef9 564 } else {
75cbbe9a 565 n = (n * fchigh + rfLen/2) / rfLen;
13d77ef9 566 }
2eec55c8 567 memset(dest+numBits, dest[idx-1]^invert , n);
13d77ef9 568 numBits += n;
569 }
ba1a299c 570 return numBits;
eb191de6 571}
6426f6ba 572
eb191de6 573//by marshmellow (from holiman's base)
574// full fsk demod from GraphBuffer wave to decoded 1s and 0s (no mandemod)
f822a063 575int fskdemod(uint8_t *dest, size_t size, uint8_t rfLen, uint8_t invert, uint8_t fchigh, uint8_t fclow)
eb191de6 576{
ba1a299c 577 // FSK demodulator
578 size = fsk_wave_demod(dest, size, fchigh, fclow);
2eec55c8 579 size = aggregate_bits(dest, size, rfLen, invert, fchigh, fclow);
ba1a299c 580 return size;
eb191de6 581}
a1d17964 582
eb191de6 583// loop to get raw HID waveform then FSK demodulate the TAG ID from it
ec75f5c1 584int HIDdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
eb191de6 585{
e0165dcf 586 if (justNoise(dest, *size)) return -1;
587
337f7036 588 size_t numStart=0, size2 = *size, startIdx=0;
e0165dcf 589 // FSK demodulator
590 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
2eec55c8 591 if (*size < 96*2) return -2;
e0165dcf 592 // 00011101 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
593 uint8_t preamble[] = {0,0,0,1,1,1,0,1};
594 // find bitstring in array
595 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
596 if (errChk == 0) return -3; //preamble not found
597
598 numStart = startIdx + sizeof(preamble);
599 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
600 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
601 if (dest[idx] == dest[idx+1]){
602 return -4; //not manchester data
603 }
604 *hi2 = (*hi2<<1)|(*hi>>31);
605 *hi = (*hi<<1)|(*lo>>31);
606 //Then, shift in a 0 or one into low
607 if (dest[idx] && !dest[idx+1]) // 1 0
608 *lo=(*lo<<1)|1;
609 else // 0 1
610 *lo=(*lo<<1)|0;
611 }
612 return (int)startIdx;
eb191de6 613}
614
ec75f5c1 615// loop to get raw paradox waveform then FSK demodulate the TAG ID from it
a1d17964 616int ParadoxdemodFSK(uint8_t *dest, size_t *size, uint32_t *hi2, uint32_t *hi, uint32_t *lo)
ec75f5c1 617{
a1d17964 618 if (justNoise(dest, *size)) return -1;
619
337f7036 620 size_t numStart=0, size2 = *size, startIdx=0;
ec75f5c1 621 // FSK demodulator
a1d17964 622 *size = fskdemod(dest, size2,50,1,10,8); //fsk2a
623 if (*size < 96) return -2;
ec75f5c1 624
a1d17964 625 // 00001111 bit pattern represent start of frame, 01 pattern represents a 0 and 10 represents a 1
626 uint8_t preamble[] = {0,0,0,0,1,1,1,1};
627
628 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
629 if (errChk == 0) return -3; //preamble not found
630
631 numStart = startIdx + sizeof(preamble);
632 // final loop, go over previously decoded FSK data and manchester decode into usable tag ID
633 for (size_t idx = numStart; (idx-numStart) < *size - sizeof(preamble); idx+=2){
634 if (dest[idx] == dest[idx+1])
635 return -4; //not manchester data
636 *hi2 = (*hi2<<1)|(*hi>>31);
637 *hi = (*hi<<1)|(*lo>>31);
638 //Then, shift in a 0 or one into low
639 if (dest[idx] && !dest[idx+1]) // 1 0
640 *lo=(*lo<<1)|1;
641 else // 0 1
642 *lo=(*lo<<1)|0;
ec75f5c1 643 }
a1d17964 644 return (int)startIdx;
ec75f5c1 645}
646
eb191de6 647int IOdemodFSK(uint8_t *dest, size_t size)
648{
a1d17964 649 if (justNoise(dest, size)) return -1;
ba1a299c 650 //make sure buffer has data
a1d17964 651 if (size < 66*64) return -2;
ba1a299c 652 // FSK demodulator
a1d17964 653 size = fskdemod(dest, size, 64, 1, 10, 8); // FSK2a RF/64
654 if (size < 65) return -3; //did we get a good demod?
ba1a299c 655 //Index map
656 //0 10 20 30 40 50 60
657 //| | | | | | |
658 //01234567 8 90123456 7 89012345 6 78901234 5 67890123 4 56789012 3 45678901 23
659 //-----------------------------------------------------------------------------
660 //00000000 0 11110000 1 facility 1 version* 1 code*one 1 code*two 1 ???????? 11
661 //
662 //XSF(version)facility:codeone+codetwo
663 //Handle the data
a1d17964 664 size_t startIdx = 0;
665 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,1};
666 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), &size, &startIdx);
667 if (errChk == 0) return -4; //preamble not found
eb191de6 668
a1d17964 669 if (!dest[startIdx+8] && dest[startIdx+17]==1 && dest[startIdx+26]==1 && dest[startIdx+35]==1 && dest[startIdx+44]==1 && dest[startIdx+53]==1){
670 //confirmed proper separator bits found
671 //return start position
672 return (int) startIdx;
1e090a61 673 }
a1d17964 674 return -5;
1e090a61 675}
676
70459879 677// by marshmellow
678// find viking preamble 0xF200 in already demoded data
679int VikingDemod_AM(uint8_t *dest, size_t *size) {
70459879 680 //make sure buffer has data
681 if (*size < 64*2) return -2;
682
683 size_t startIdx = 0;
684 uint8_t preamble[] = {1,1,1,1,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
685 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
686 if (errChk == 0) return -4; //preamble not found
c0afa86f 687 uint32_t checkCalc = bytebits_to_byte(dest+startIdx,8) ^
688 bytebits_to_byte(dest+startIdx+8,8) ^
689 bytebits_to_byte(dest+startIdx+16,8) ^
690 bytebits_to_byte(dest+startIdx+24,8) ^
691 bytebits_to_byte(dest+startIdx+32,8) ^
692 bytebits_to_byte(dest+startIdx+40,8) ^
693 bytebits_to_byte(dest+startIdx+48,8) ^
694 bytebits_to_byte(dest+startIdx+56,8);
695 if ( checkCalc != 0xA8 ) return -5;
57c7b44b 696 if (*size != 64) return -6;
70459879 697 //return start position
698 return (int) startIdx;
699}
700
4469412e 701// find presco preamble 0x10D in already demoded data
702int PrescoDemod(uint8_t *dest, size_t *size) {
703 //make sure buffer has data
704 if (*size < 64*2) return -2;
705
706 size_t startIdx = 0;
707 uint8_t preamble[] = {1,0,0,0,0,1,1,0,1,0,0,0,0,0,0,0,0,0,0,0};
708 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
709 if (errChk == 0) return -4; //preamble not found
710 //return start position
711 return (int) startIdx;
712}
713
615f21dd 714// Ask/Biphase Demod then try to locate an ISO 11784/85 ID
0df669a2 715// BitStream must contain previously askrawdemod and biphasedemoded data
ad6219fc 716int FDXBdemodBI(uint8_t *dest, size_t *size)
615f21dd 717{
718 //make sure buffer has enough data
0df669a2 719 if (*size < 128) return -1;
615f21dd 720
615f21dd 721 size_t startIdx = 0;
0df669a2 722 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,1};
723
615f21dd 724 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
0df669a2 725 if (errChk == 0) return -2; //preamble not found
615f21dd 726 return (int)startIdx;
727}
1e090a61 728
6c283951 729// ASK/Diphase fc/64 (inverted Biphase)
730// Note: this i s not a demod, this is only a detection
731// the parameter *dest needs to be demoded before call
732int JablotronDemod(uint8_t *dest, size_t *size){
733 //make sure buffer has enough data
734 if (*size < 64) return -1;
735
736 size_t startIdx = 0;
737 // 0xFFFF preamble, 64bits
29ce214c 738 uint8_t preamble[] = {
739 1,1,1,1,
740 1,1,1,1,
741 1,1,1,1,
742 1,1,1,1,
743 0
744 };
6c283951 745
746 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
747 if (errChk == 0) return -4; //preamble not found
29ce214c 748 if (*size != 64) return -3;
6c283951 749
29ce214c 750 uint8_t checkchksum = 0;
6c283951 751 for (int i=16; i < 56; i += 8) {
29ce214c 752 checkchksum += bytebits_to_byte(dest+startIdx+i,8);
6c283951 753 }
29ce214c 754 checkchksum ^= 0x3A;
6c283951 755
29ce214c 756 uint8_t crc = bytebits_to_byte(dest+startIdx+56, 8);
6c283951 757
29ce214c 758 if ( checkchksum != crc ) return -5;
6c283951 759 return (int)startIdx;
760}
761
1e090a61 762// by marshmellow
763// FSK Demod then try to locate an AWID ID
a1d17964 764int AWIDdemodFSK(uint8_t *dest, size_t *size)
1e090a61 765{
a1d17964 766 //make sure buffer has enough data
767 if (*size < 96*50) return -1;
768
769 if (justNoise(dest, *size)) return -2;
1e090a61 770
771 // FSK demodulator
a1d17964 772 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
773 if (*size < 96) return -3; //did we get a good demod?
774
775 uint8_t preamble[] = {0,0,0,0,0,0,0,1};
776 size_t startIdx = 0;
777 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
778 if (errChk == 0) return -4; //preamble not found
779 if (*size != 96) return -5;
780 return (int)startIdx;
1e090a61 781}
782
783// by marshmellow
6426f6ba 784// FSK Demod then try to locate a Farpointe Data (pyramid) ID
a1d17964 785int PyramiddemodFSK(uint8_t *dest, size_t *size)
1e090a61 786{
f3bf15e4 787 //make sure buffer has data
788 if (*size < 128*50) return -5;
a1d17964 789
f3bf15e4 790 //test samples are not just noise
791 if (justNoise(dest, *size)) return -1;
1e090a61 792
f3bf15e4 793 // FSK demodulator
794 *size = fskdemod(dest, *size, 50, 1, 10, 8); // fsk2a RF/50
795 if (*size < 128) return -2; //did we get a good demod?
a1d17964 796
f3bf15e4 797 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
a1d17964 798 size_t startIdx = 0;
799 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
800 if (errChk == 0) return -4; //preamble not found
801 if (*size != 128) return -3;
802 return (int)startIdx;
1e090a61 803}
804
0cbe0cb8 805// find nedap preamble in already demoded data
56bbb25a 806int NedapDemod(uint8_t *dest, size_t *size) {
807 //make sure buffer has data
808 if (*size < 128) return -3;
96faed21 809
56bbb25a 810 size_t startIdx = 0;
15d49e82 811 //uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,0,0,0,1};
812 uint8_t preamble[] = {1,1,1,1,1,1,1,1,1,0};
56bbb25a 813 uint8_t errChk = preambleSearch(dest, preamble, sizeof(preamble), size, &startIdx);
814 if (errChk == 0) return -4; //preamble not found
56bbb25a 815 return (int) startIdx;
816}
817
fef74fdc 818// by marshmellow
819// to detect a wave that has heavily clipped (clean) samples
cc15a118 820uint8_t DetectCleanAskWave(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
6de43508 821{
6426f6ba 822 bool allArePeaks = true;
6de43508 823 uint16_t cntPeaks=0;
6426f6ba 824 size_t loopEnd = 512+160;
1fbf8956 825 if (loopEnd > size) loopEnd = size;
6426f6ba 826 for (size_t i=160; i<loopEnd; i++){
6de43508 827 if (dest[i]>low && dest[i]<high)
6426f6ba 828 allArePeaks = false;
6de43508 829 else
830 cntPeaks++;
831 }
6426f6ba 832 if (!allArePeaks){
833 if (cntPeaks > 300) return true;
6de43508 834 }
6426f6ba 835 return allArePeaks;
6de43508 836}
2eec55c8 837// by marshmellow
838// to help detect clocks on heavily clipped samples
cc15a118 839// based on count of low to low
840int DetectStrongAskClock(uint8_t dest[], size_t size, uint8_t high, uint8_t low)
13d77ef9 841{
cc15a118 842 uint8_t fndClk[] = {8,16,32,40,50,64,128};
843 size_t startwave;
6426f6ba 844 size_t i = 100;
cc15a118 845 size_t minClk = 255;
846 // get to first full low to prime loop and skip incomplete first pulse
847 while ((dest[i] < high) && (i < size))
848 ++i;
849 while ((dest[i] > low) && (i < size))
850 ++i;
851
852 // loop through all samples
853 while (i < size) {
854 // measure from low to low
855 while ((dest[i] > low) && (i < size))
856 ++i;
857 startwave= i;
858 while ((dest[i] < high) && (i < size))
859 ++i;
860 while ((dest[i] > low) && (i < size))
861 ++i;
862 //get minimum measured distance
863 if (i-startwave < minClk && i < size)
864 minClk = i - startwave;
13d77ef9 865 }
cc15a118 866 // set clock
a126332a 867 if (g_debugMode==2) prnt("DEBUG ASK: detectstrongASKclk smallest wave: %d",minClk);
cc15a118 868 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
869 if (minClk >= fndClk[clkCnt]-(fndClk[clkCnt]/8) && minClk <= fndClk[clkCnt]+1)
870 return fndClk[clkCnt];
13d77ef9 871 }
cc15a118 872 return 0;
13d77ef9 873}
874
eb191de6 875// by marshmellow
876// not perfect especially with lower clocks or VERY good antennas (heavy wave clipping)
877// maybe somehow adjust peak trimming value based on samples to fix?
6de43508 878// return start index of best starting position for that clock and return clock (by reference)
879int DetectASKClock(uint8_t dest[], size_t size, int *clock, int maxErr)
eb191de6 880{
6e984446 881 size_t i=1;
cc15a118 882 uint8_t clk[] = {255,8,16,32,40,50,64,100,128,255};
883 uint8_t clkEnd = 9;
2eec55c8 884 uint8_t loopCnt = 255; //don't need to loop through entire array...
6426f6ba 885 if (size <= loopCnt+60) return -1; //not enough samples
886 size -= 60; //sometimes there is a strange end wave - filter out this....
6e984446 887 //if we already have a valid clock
888 uint8_t clockFnd=0;
cc15a118 889 for (;i<clkEnd;++i)
890 if (clk[i] == *clock) clockFnd = i;
6e984446 891 //clock found but continue to find best startpos
e0165dcf 892
893 //get high and low peak
894 int peak, low;
2eec55c8 895 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return -1;
e0165dcf 896
897 //test for large clean peaks
cc15a118 898 if (!clockFnd){
899 if (DetectCleanAskWave(dest, size, peak, low)==1){
900 int ans = DetectStrongAskClock(dest, size, peak, low);
a126332a 901 if (g_debugMode==2) prnt("DEBUG ASK: detectaskclk Clean Ask Wave Detected: clk %d",ans);
cc15a118 902 for (i=clkEnd-1; i>0; i--){
903 if (clk[i] == ans) {
904 *clock = ans;
905 //clockFnd = i;
906 return 0; // for strong waves i don't use the 'best start position' yet...
907 //break; //clock found but continue to find best startpos [not yet]
908 }
e0165dcf 909 }
910 }
911 }
2eec55c8 912 uint8_t ii;
913 uint8_t clkCnt, tol = 0;
914 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
915 uint8_t bestStart[]={0,0,0,0,0,0,0,0,0};
916 size_t errCnt = 0;
917 size_t arrLoc, loopEnd;
6e984446 918
cc15a118 919 if (clockFnd>0) {
920 clkCnt = clockFnd;
921 clkEnd = clockFnd+1;
922 }
923 else clkCnt=1;
924
925 //test each valid clock from smallest to greatest to see which lines up
926 for(; clkCnt < clkEnd; clkCnt++){
fef74fdc 927 if (clk[clkCnt] <= 32){
e0165dcf 928 tol=1;
929 }else{
930 tol=0;
931 }
2767fc02 932 //if no errors allowed - keep start within the first clock
cc15a118 933 if (!maxErr && size > clk[clkCnt]*2 + tol && clk[clkCnt]<128) loopCnt=clk[clkCnt]*2;
e0165dcf 934 bestErr[clkCnt]=1000;
6e984446 935 //try lining up the peaks by moving starting point (try first few clocks)
cc15a118 936 for (ii=0; ii < loopCnt; ii++){
2eec55c8 937 if (dest[ii] < peak && dest[ii] > low) continue;
938
939 errCnt=0;
940 // now that we have the first one lined up test rest of wave array
941 loopEnd = ((size-ii-tol) / clk[clkCnt]) - 1;
942 for (i=0; i < loopEnd; ++i){
943 arrLoc = ii + (i * clk[clkCnt]);
944 if (dest[arrLoc] >= peak || dest[arrLoc] <= low){
945 }else if (dest[arrLoc-tol] >= peak || dest[arrLoc-tol] <= low){
946 }else if (dest[arrLoc+tol] >= peak || dest[arrLoc+tol] <= low){
947 }else{ //error no peak detected
948 errCnt++;
e0165dcf 949 }
950 }
cc15a118 951 //if we found no errors then we can stop here and a low clock (common clocks)
2eec55c8 952 // this is correct one - return this clock
a126332a 953 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, err %d, startpos %d, endpos %d",clk[clkCnt],errCnt,ii,i);
cc15a118 954 if(errCnt==0 && clkCnt<7) {
955 if (!clockFnd) *clock = clk[clkCnt];
2eec55c8 956 return ii;
957 }
958 //if we found errors see if it is lowest so far and save it as best run
959 if(errCnt<bestErr[clkCnt]){
960 bestErr[clkCnt]=errCnt;
961 bestStart[clkCnt]=ii;
962 }
e0165dcf 963 }
964 }
cc15a118 965 uint8_t iii;
e0165dcf 966 uint8_t best=0;
cc15a118 967 for (iii=1; iii<clkEnd; ++iii){
2eec55c8 968 if (bestErr[iii] < bestErr[best]){
969 if (bestErr[iii] == 0) bestErr[iii]=1;
e0165dcf 970 // current best bit to error ratio vs new bit to error ratio
2eec55c8 971 if ( (size/clk[best])/bestErr[best] < (size/clk[iii])/bestErr[iii] ){
e0165dcf 972 best = iii;
973 }
974 }
a126332a 975 if (g_debugMode == 2) prnt("DEBUG ASK: clk %d, # Errors %d, Current Best Clk %d, bestStart %d",clk[iii],bestErr[iii],clk[best],bestStart[best]);
e0165dcf 976 }
cc15a118 977 if (!clockFnd) *clock = clk[best];
e0165dcf 978 return bestStart[best];
eb191de6 979}
ba1a299c 980
981//by marshmellow
6de43508 982//detect psk clock by reading each phase shift
983// a phase shift is determined by measuring the sample length of each wave
984int DetectPSKClock(uint8_t dest[], size_t size, int clock)
ba1a299c 985{
e0165dcf 986 uint8_t clk[]={255,16,32,40,50,64,100,128,255}; //255 is not a valid clock
987 uint16_t loopCnt = 4096; //don't need to loop through entire array...
988 if (size == 0) return 0;
6426f6ba 989 if (size<loopCnt) loopCnt = size-20;
e0165dcf 990
991 //if we already have a valid clock quit
992 size_t i=1;
993 for (; i < 8; ++i)
994 if (clk[i] == clock) return clock;
995
996 size_t waveStart=0, waveEnd=0, firstFullWave=0, lastClkBit=0;
997 uint8_t clkCnt, fc=0, fullWaveLen=0, tol=1;
998 uint16_t peakcnt=0, errCnt=0, waveLenCnt=0;
999 uint16_t bestErr[]={1000,1000,1000,1000,1000,1000,1000,1000,1000};
1000 uint16_t peaksdet[]={0,0,0,0,0,0,0,0,0};
2eec55c8 1001 fc = countFC(dest, size, 0);
1002 if (fc!=2 && fc!=4 && fc!=8) return -1;
a126332a 1003 if (g_debugMode==2) prnt("DEBUG PSK: FC: %d",fc);
e0165dcf 1004
1005 //find first full wave
6426f6ba 1006 for (i=160; i<loopCnt; i++){
e0165dcf 1007 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
1008 if (waveStart == 0) {
1009 waveStart = i+1;
6426f6ba 1010 //prnt("DEBUG: waveStart: %d",waveStart);
e0165dcf 1011 } else {
1012 waveEnd = i+1;
6426f6ba 1013 //prnt("DEBUG: waveEnd: %d",waveEnd);
e0165dcf 1014 waveLenCnt = waveEnd-waveStart;
1015 if (waveLenCnt > fc){
1016 firstFullWave = waveStart;
1017 fullWaveLen=waveLenCnt;
1018 break;
1019 }
1020 waveStart=0;
1021 }
1022 }
1023 }
a126332a 1024 if (g_debugMode ==2) prnt("DEBUG PSK: firstFullWave: %d, waveLen: %d",firstFullWave,fullWaveLen);
e0165dcf 1025
1026 //test each valid clock from greatest to smallest to see which lines up
1027 for(clkCnt=7; clkCnt >= 1 ; clkCnt--){
1028 lastClkBit = firstFullWave; //set end of wave as clock align
1029 waveStart = 0;
1030 errCnt=0;
1031 peakcnt=0;
a126332a 1032 if (g_debugMode == 2) prnt("DEBUG PSK: clk: %d, lastClkBit: %d",clk[clkCnt],lastClkBit);
e0165dcf 1033
1034 for (i = firstFullWave+fullWaveLen-1; i < loopCnt-2; i++){
1035 //top edge of wave = start of new wave
1036 if (dest[i] < dest[i+1] && dest[i+1] >= dest[i+2]){
1037 if (waveStart == 0) {
1038 waveStart = i+1;
1039 waveLenCnt=0;
1040 } else { //waveEnd
1041 waveEnd = i+1;
1042 waveLenCnt = waveEnd-waveStart;
1043 if (waveLenCnt > fc){
1044 //if this wave is a phase shift
a126332a 1045 if (g_debugMode == 2) prnt("DEBUG PSK: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+clk[clkCnt]-tol,i+1,fc);
e0165dcf 1046 if (i+1 >= lastClkBit + clk[clkCnt] - tol){ //should be a clock bit
1047 peakcnt++;
1048 lastClkBit+=clk[clkCnt];
1049 } else if (i<lastClkBit+8){
1050 //noise after a phase shift - ignore
1051 } else { //phase shift before supposed to based on clock
1052 errCnt++;
1053 }
1054 } else if (i+1 > lastClkBit + clk[clkCnt] + tol + fc){
1055 lastClkBit+=clk[clkCnt]; //no phase shift but clock bit
1056 }
1057 waveStart=i+1;
1058 }
1059 }
1060 }
1061 if (errCnt == 0){
1062 return clk[clkCnt];
1063 }
1064 if (errCnt <= bestErr[clkCnt]) bestErr[clkCnt]=errCnt;
1065 if (peakcnt > peaksdet[clkCnt]) peaksdet[clkCnt]=peakcnt;
1066 }
1067 //all tested with errors
1068 //return the highest clk with the most peaks found
1069 uint8_t best=7;
1070 for (i=7; i>=1; i--){
1071 if (peaksdet[i] > peaksdet[best]) {
1072 best = i;
1073 }
a126332a 1074 if (g_debugMode == 2) prnt("DEBUG PSK: Clk: %d, peaks: %d, errs: %d, bestClk: %d",clk[i],peaksdet[i],bestErr[i],clk[best]);
e0165dcf 1075 }
1076 return clk[best];
ba1a299c 1077}
1078
6426f6ba 1079int DetectStrongNRZClk(uint8_t *dest, size_t size, int peak, int low){
1080 //find shortest transition from high to low
1081 size_t i = 0;
1082 size_t transition1 = 0;
1083 int lowestTransition = 255;
1084 bool lastWasHigh = false;
1085
1086 //find first valid beginning of a high or low wave
1087 while ((dest[i] >= peak || dest[i] <= low) && (i < size))
1088 ++i;
1089 while ((dest[i] < peak && dest[i] > low) && (i < size))
1090 ++i;
1091 lastWasHigh = (dest[i] >= peak);
1092
1093 if (i==size) return 0;
1094 transition1 = i;
1095
1096 for (;i < size; i++) {
1097 if ((dest[i] >= peak && !lastWasHigh) || (dest[i] <= low && lastWasHigh)) {
1098 lastWasHigh = (dest[i] >= peak);
1099 if (i-transition1 < lowestTransition) lowestTransition = i-transition1;
1100 transition1 = i;
1101 }
1102 }
6426f6ba 1103 if (lowestTransition == 255) lowestTransition = 0;
a126332a 1104 if (g_debugMode==2) prnt("DEBUG NRZ: detectstrongNRZclk smallest wave: %d",lowestTransition);
6426f6ba 1105 return lowestTransition;
1106}
1107
6de43508 1108//by marshmellow
1109//detect nrz clock by reading #peaks vs no peaks(or errors)
1110int DetectNRZClock(uint8_t dest[], size_t size, int clock)
ba1a299c 1111{
2eec55c8 1112 size_t i=0;
1113 uint8_t clk[]={8,16,32,40,50,64,100,128,255};
1114 size_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1115 if (size == 0) return 0;
6426f6ba 1116 if (size<loopCnt) loopCnt = size-20;
e0165dcf 1117 //if we already have a valid clock quit
1118 for (; i < 8; ++i)
1119 if (clk[i] == clock) return clock;
1120
1121 //get high and low peak
1122 int peak, low;
2eec55c8 1123 if (getHiLo(dest, loopCnt, &peak, &low, 75, 75) < 1) return 0;
e0165dcf 1124
6426f6ba 1125 int lowestTransition = DetectStrongNRZClk(dest, size-20, peak, low);
2eec55c8 1126 size_t ii;
e0165dcf 1127 uint8_t clkCnt;
1128 uint8_t tol = 0;
6426f6ba 1129 uint16_t smplCnt = 0;
1130 int16_t peakcnt = 0;
1131 int16_t peaksdet[] = {0,0,0,0,0,0,0,0};
1132 uint16_t maxPeak = 255;
1133 bool firstpeak = false;
e0165dcf 1134 //test for large clipped waves
1135 for (i=0; i<loopCnt; i++){
1136 if (dest[i] >= peak || dest[i] <= low){
6426f6ba 1137 if (!firstpeak) continue;
1138 smplCnt++;
e0165dcf 1139 } else {
6426f6ba 1140 firstpeak=true;
1141 if (smplCnt > 6 ){
1142 if (maxPeak > smplCnt){
1143 maxPeak = smplCnt;
1144 //prnt("maxPk: %d",maxPeak);
1145 }
1146 peakcnt++;
1147 //prnt("maxPk: %d, smplCnt: %d, peakcnt: %d",maxPeak,smplCnt,peakcnt);
1148 smplCnt=0;
e0165dcf 1149 }
e0165dcf 1150 }
1151 }
6426f6ba 1152 bool errBitHigh = 0;
1153 bool bitHigh = 0;
1154 uint8_t ignoreCnt = 0;
1155 uint8_t ignoreWindow = 4;
1156 bool lastPeakHigh = 0;
1157 int lastBit = 0;
e0165dcf 1158 peakcnt=0;
1159 //test each valid clock from smallest to greatest to see which lines up
1160 for(clkCnt=0; clkCnt < 8; ++clkCnt){
6426f6ba 1161 //ignore clocks smaller than smallest peak
1162 if (clk[clkCnt] < maxPeak - (clk[clkCnt]/4)) continue;
e0165dcf 1163 //try lining up the peaks by moving starting point (try first 256)
6426f6ba 1164 for (ii=20; ii < loopCnt; ++ii){
e0165dcf 1165 if ((dest[ii] >= peak) || (dest[ii] <= low)){
1166 peakcnt=0;
6426f6ba 1167 bitHigh = false;
1168 ignoreCnt = 0;
1169 lastBit = ii-clk[clkCnt];
1170 //loop through to see if this start location works
1171 for (i = ii; i < size-20; ++i) {
1172 //if we are at a clock bit
1173 if ((i >= lastBit + clk[clkCnt] - tol) && (i <= lastBit + clk[clkCnt] + tol)) {
1174 //test high/low
1175 if (dest[i] >= peak || dest[i] <= low) {
1176 //if same peak don't count it
1177 if ((dest[i] >= peak && !lastPeakHigh) || (dest[i] <= low && lastPeakHigh)) {
e0165dcf 1178 peakcnt++;
6426f6ba 1179 }
1180 lastPeakHigh = (dest[i] >= peak);
1181 bitHigh = true;
1182 errBitHigh = false;
1183 ignoreCnt = ignoreWindow;
1184 lastBit += clk[clkCnt];
1185 } else if (i == lastBit + clk[clkCnt] + tol) {
1186 lastBit += clk[clkCnt];
1187 }
1188 //else if not a clock bit and no peaks
1189 } else if (dest[i] < peak && dest[i] > low){
1190 if (ignoreCnt==0){
1191 bitHigh=false;
1192 if (errBitHigh==true) peakcnt--;
1193 errBitHigh=false;
1194 } else {
1195 ignoreCnt--;
1196 }
1197 // else if not a clock bit but we have a peak
1198 } else if ((dest[i]>=peak || dest[i]<=low) && (!bitHigh)) {
1199 //error bar found no clock...
1200 errBitHigh=true;
e0165dcf 1201 }
1202 }
1203 if(peakcnt>peaksdet[clkCnt]) {
1204 peaksdet[clkCnt]=peakcnt;
1205 }
1206 }
1207 }
1208 }
1209 int iii=7;
2eec55c8 1210 uint8_t best=0;
e0165dcf 1211 for (iii=7; iii > 0; iii--){
6426f6ba 1212 if ((peaksdet[iii] >= (peaksdet[best]-1)) && (peaksdet[iii] <= peaksdet[best]+1) && lowestTransition) {
1213 if (clk[iii] > (lowestTransition - (clk[iii]/8)) && clk[iii] < (lowestTransition + (clk[iii]/8))) {
1214 best = iii;
1215 }
1216 } else if (peaksdet[iii] > peaksdet[best]){
e0165dcf 1217 best = iii;
1218 }
a126332a 1219 if (g_debugMode==2) prnt("DEBUG NRZ: Clk: %d, peaks: %d, maxPeak: %d, bestClk: %d, lowestTrs: %d",clk[iii],peaksdet[iii],maxPeak, clk[best], lowestTransition);
e0165dcf 1220 }
6426f6ba 1221
e0165dcf 1222 return clk[best];
ba1a299c 1223}
1224
04d2721b 1225// by marshmellow
1226// convert psk1 demod to psk2 demod
1227// only transition waves are 1s
1228void psk1TOpsk2(uint8_t *BitStream, size_t size)
1229{
1230 size_t i=1;
1231 uint8_t lastBit=BitStream[0];
1232 for (; i<size; i++){
2767fc02 1233 if (BitStream[i]==7){
7a8a982b 1234 //ignore errors
1235 } else if (lastBit!=BitStream[i]){
04d2721b 1236 lastBit=BitStream[i];
1237 BitStream[i]=1;
1238 } else {
1239 BitStream[i]=0;
1240 }
1241 }
1242 return;
1243}
ba1a299c 1244
3bc66a96 1245// by marshmellow
1246// convert psk2 demod to psk1 demod
1247// from only transition waves are 1s to phase shifts change bit
1248void psk2TOpsk1(uint8_t *BitStream, size_t size)
1249{
712ebfa6 1250 uint8_t phase=0;
1251 for (size_t i=0; i<size; i++){
1252 if (BitStream[i]==1){
3bc66a96 1253 phase ^=1;
1254 }
1255 BitStream[i]=phase;
1256 }
1257 return;
1258}
1259
04d2721b 1260// redesigned by marshmellow adjusted from existing decode functions
1261// indala id decoding - only tested on 26 bit tags, but attempted to make it work for more
ba1a299c 1262int indala26decode(uint8_t *bitStream, size_t *size, uint8_t *invert)
1263{
1264 //26 bit 40134 format (don't know other formats)
57c7b44b 1265 uint8_t preamble[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1};
1266 uint8_t preamble_i[] = {1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0};
1267 size_t startidx = 0;
1268 if (!preambleSearch(bitStream, preamble, sizeof(preamble), size, &startidx)){
1269 // if didn't find preamble try again inverting
1270 if (!preambleSearch(bitStream, preamble_i, sizeof(preamble_i), size, &startidx)) return -1;
1271 *invert ^= 1;
1272 }
1273 if (*size != 64 && *size != 224) return -2;
1274 if (*invert==1)
1275 for (size_t i = startidx; i < *size; i++)
1276 bitStream[i] ^= 1;
ba1a299c 1277
57c7b44b 1278 return (int) startidx;
ba1a299c 1279}
1280
05164399 1281// by marshmellow - demodulate NRZ wave - requires a read with strong signal
04d2721b 1282// peaks invert bit (high=1 low=0) each clock cycle = 1 bit determined by last peak
6426f6ba 1283int nrzRawDemod(uint8_t *dest, size_t *size, int *clk, int *invert){
e0165dcf 1284 if (justNoise(dest, *size)) return -1;
1285 *clk = DetectNRZClock(dest, *size, *clk);
1286 if (*clk==0) return -2;
2eec55c8 1287 size_t i, gLen = 4096;
6426f6ba 1288 if (gLen>*size) gLen = *size-20;
e0165dcf 1289 int high, low;
1290 if (getHiLo(dest, gLen, &high, &low, 75, 75) < 1) return -3; //25% fuzz on high 25% fuzz on low
6426f6ba 1291
1292 uint8_t bit=0;
1293 //convert wave samples to 1's and 0's
1294 for(i=20; i < *size-20; i++){
1295 if (dest[i] >= high) bit = 1;
1296 if (dest[i] <= low) bit = 0;
1297 dest[i] = bit;
e0165dcf 1298 }
6426f6ba 1299 //now demod based on clock (rf/32 = 32 1's for one 1 bit, 32 0's for one 0 bit)
1300 size_t lastBit = 0;
1301 size_t numBits = 0;
1302 for(i=21; i < *size-20; i++) {
1303 //if transition detected or large number of same bits - store the passed bits
1304 if (dest[i] != dest[i-1] || (i-lastBit) == (10 * *clk)) {
1305 memset(dest+numBits, dest[i-1] ^ *invert, (i - lastBit + (*clk/4)) / *clk);
1306 numBits += (i - lastBit + (*clk/4)) / *clk;
1307 lastBit = i-1;
e0165dcf 1308 }
e0165dcf 1309 }
6426f6ba 1310 *size = numBits;
1311 return 0;
ba1a299c 1312}
1313
1e090a61 1314//by marshmellow
03e6bb4a 1315//detects the bit clock for FSK given the high and low Field Clocks
1316uint8_t detectFSKClk(uint8_t *BitStream, size_t size, uint8_t fcHigh, uint8_t fcLow)
1e090a61 1317{
e0165dcf 1318 uint8_t clk[] = {8,16,32,40,50,64,100,128,0};
1319 uint16_t rfLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1320 uint8_t rfCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1321 uint8_t rfLensFnd = 0;
2eec55c8 1322 uint8_t lastFCcnt = 0;
1323 uint16_t fcCounter = 0;
e0165dcf 1324 uint16_t rfCounter = 0;
1325 uint8_t firstBitFnd = 0;
1326 size_t i;
1327 if (size == 0) return 0;
1328
6426f6ba 1329 uint8_t fcTol = ((fcHigh*100 - fcLow*100)/2 + 50)/100; //(uint8_t)(0.5+(float)(fcHigh-fcLow)/2);
e0165dcf 1330 rfLensFnd=0;
1331 fcCounter=0;
1332 rfCounter=0;
1333 firstBitFnd=0;
1334 //PrintAndLog("DEBUG: fcTol: %d",fcTol);
6426f6ba 1335 // prime i to first peak / up transition
1336 for (i = 160; i < size-20; i++)
e0165dcf 1337 if (BitStream[i] > BitStream[i-1] && BitStream[i]>=BitStream[i+1])
1338 break;
1339
6426f6ba 1340 for (; i < size-20; i++){
2eec55c8 1341 fcCounter++;
1342 rfCounter++;
1343
1344 if (BitStream[i] <= BitStream[i-1] || BitStream[i] < BitStream[i+1])
1345 continue;
1346 // else new peak
1347 // if we got less than the small fc + tolerance then set it to the small fc
1348 if (fcCounter < fcLow+fcTol)
1349 fcCounter = fcLow;
1350 else //set it to the large fc
1351 fcCounter = fcHigh;
1352
1353 //look for bit clock (rf/xx)
1354 if ((fcCounter < lastFCcnt || fcCounter > lastFCcnt)){
1355 //not the same size as the last wave - start of new bit sequence
1356 if (firstBitFnd > 1){ //skip first wave change - probably not a complete bit
1357 for (int ii=0; ii<15; ii++){
6426f6ba 1358 if (rfLens[ii] >= (rfCounter-4) && rfLens[ii] <= (rfCounter+4)){
2eec55c8 1359 rfCnts[ii]++;
1360 rfCounter = 0;
1361 break;
e0165dcf 1362 }
e0165dcf 1363 }
2eec55c8 1364 if (rfCounter > 0 && rfLensFnd < 15){
1365 //PrintAndLog("DEBUG: rfCntr %d, fcCntr %d",rfCounter,fcCounter);
1366 rfCnts[rfLensFnd]++;
1367 rfLens[rfLensFnd++] = rfCounter;
1368 }
1369 } else {
1370 firstBitFnd++;
e0165dcf 1371 }
2eec55c8 1372 rfCounter=0;
1373 lastFCcnt=fcCounter;
e0165dcf 1374 }
2eec55c8 1375 fcCounter=0;
e0165dcf 1376 }
1377 uint8_t rfHighest=15, rfHighest2=15, rfHighest3=15;
1378
1379 for (i=0; i<15; i++){
e0165dcf 1380 //get highest 2 RF values (might need to get more values to compare or compare all?)
1381 if (rfCnts[i]>rfCnts[rfHighest]){
1382 rfHighest3=rfHighest2;
1383 rfHighest2=rfHighest;
1384 rfHighest=i;
1385 } else if(rfCnts[i]>rfCnts[rfHighest2]){
1386 rfHighest3=rfHighest2;
1387 rfHighest2=i;
1388 } else if(rfCnts[i]>rfCnts[rfHighest3]){
1389 rfHighest3=i;
1390 }
a126332a 1391 if (g_debugMode==2) prnt("DEBUG FSK: RF %d, cnts %d",rfLens[i], rfCnts[i]);
e0165dcf 1392 }
1393 // set allowed clock remainder tolerance to be 1 large field clock length+1
1394 // we could have mistakenly made a 9 a 10 instead of an 8 or visa versa so rfLens could be 1 FC off
1395 uint8_t tol1 = fcHigh+1;
1396
a126332a 1397 if (g_debugMode==2) prnt("DEBUG FSK: most counted rf values: 1 %d, 2 %d, 3 %d",rfLens[rfHighest],rfLens[rfHighest2],rfLens[rfHighest3]);
e0165dcf 1398
1399 // loop to find the highest clock that has a remainder less than the tolerance
1400 // compare samples counted divided by
6426f6ba 1401 // test 128 down to 32 (shouldn't be possible to have fc/10 & fc/8 and rf/16 or less)
e0165dcf 1402 int ii=7;
6426f6ba 1403 for (; ii>=2; ii--){
e0165dcf 1404 if (rfLens[rfHighest] % clk[ii] < tol1 || rfLens[rfHighest] % clk[ii] > clk[ii]-tol1){
1405 if (rfLens[rfHighest2] % clk[ii] < tol1 || rfLens[rfHighest2] % clk[ii] > clk[ii]-tol1){
1406 if (rfLens[rfHighest3] % clk[ii] < tol1 || rfLens[rfHighest3] % clk[ii] > clk[ii]-tol1){
a126332a 1407 if (g_debugMode==2) prnt("DEBUG FSK: clk %d divides into the 3 most rf values within tolerance",clk[ii]);
e0165dcf 1408 break;
1409 }
1410 }
1411 }
1412 }
1413
1414 if (ii<0) return 0; // oops we went too far
1415
1416 return clk[ii];
03e6bb4a 1417}
1e090a61 1418
03e6bb4a 1419//by marshmellow
1420//countFC is to detect the field clock lengths.
1421//counts and returns the 2 most common wave lengths
6de43508 1422//mainly used for FSK field clock detection
2eec55c8 1423uint16_t countFC(uint8_t *BitStream, size_t size, uint8_t fskAdj)
03e6bb4a 1424{
6426f6ba 1425 uint8_t fcLens[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
1426 uint16_t fcCnts[] = {0,0,0,0,0,0,0,0,0,0,0,0,0,0,0};
e0165dcf 1427 uint8_t fcLensFnd = 0;
1428 uint8_t lastFCcnt=0;
2eec55c8 1429 uint8_t fcCounter = 0;
e0165dcf 1430 size_t i;
1431 if (size == 0) return 0;
1432
1433 // prime i to first up transition
6426f6ba 1434 for (i = 160; i < size-20; i++)
e0165dcf 1435 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1])
1436 break;
1437
6426f6ba 1438 for (; i < size-20; i++){
e0165dcf 1439 if (BitStream[i] > BitStream[i-1] && BitStream[i] >= BitStream[i+1]){
1440 // new up transition
1441 fcCounter++;
2eec55c8 1442 if (fskAdj){
1443 //if we had 5 and now have 9 then go back to 8 (for when we get a fc 9 instead of an 8)
1444 if (lastFCcnt==5 && fcCounter==9) fcCounter--;
1445 //if fc=9 or 4 add one (for when we get a fc 9 instead of 10 or a 4 instead of a 5)
1446 if ((fcCounter==9) || fcCounter==4) fcCounter++;
e0165dcf 1447 // save last field clock count (fc/xx)
2eec55c8 1448 lastFCcnt = fcCounter;
1449 }
e0165dcf 1450 // find which fcLens to save it to:
6426f6ba 1451 for (int ii=0; ii<15; ii++){
e0165dcf 1452 if (fcLens[ii]==fcCounter){
1453 fcCnts[ii]++;
1454 fcCounter=0;
1455 break;
1456 }
1457 }
6426f6ba 1458 if (fcCounter>0 && fcLensFnd<15){
e0165dcf 1459 //add new fc length
1460 fcCnts[fcLensFnd]++;
1461 fcLens[fcLensFnd++]=fcCounter;
1462 }
1463 fcCounter=0;
1464 } else {
1465 // count sample
1466 fcCounter++;
1467 }
1468 }
1469
6426f6ba 1470 uint8_t best1=14, best2=14, best3=14;
e0165dcf 1471 uint16_t maxCnt1=0;
1472 // go through fclens and find which ones are bigest 2
6426f6ba 1473 for (i=0; i<15; i++){
e0165dcf 1474 // get the 3 best FC values
1475 if (fcCnts[i]>maxCnt1) {
1476 best3=best2;
1477 best2=best1;
1478 maxCnt1=fcCnts[i];
1479 best1=i;
1480 } else if(fcCnts[i]>fcCnts[best2]){
1481 best3=best2;
1482 best2=i;
1483 } else if(fcCnts[i]>fcCnts[best3]){
1484 best3=i;
1485 }
a126332a 1486 if (g_debugMode==2) prnt("DEBUG countfc: FC %u, Cnt %u, best fc: %u, best2 fc: %u",fcLens[i],fcCnts[i],fcLens[best1],fcLens[best2]);
e0165dcf 1487 }
6426f6ba 1488 if (fcLens[best1]==0) return 0;
e0165dcf 1489 uint8_t fcH=0, fcL=0;
1490 if (fcLens[best1]>fcLens[best2]){
1491 fcH=fcLens[best1];
1492 fcL=fcLens[best2];
1493 } else{
1494 fcH=fcLens[best2];
1495 fcL=fcLens[best1];
1496 }
a126332a 1497 if ((size-180)/fcH/3 > fcCnts[best1]+fcCnts[best2]) {
1498 if (g_debugMode==2) prnt("DEBUG countfc: fc is too large: %u > %u. Not psk or fsk",(size-180)/fcH/3,fcCnts[best1]+fcCnts[best2]);
1499 return 0; //lots of waves not psk or fsk
1500 }
e0165dcf 1501 // TODO: take top 3 answers and compare to known Field clocks to get top 2
1502
1503 uint16_t fcs = (((uint16_t)fcH)<<8) | fcL;
2eec55c8 1504 if (fskAdj) return fcs;
1505 return fcLens[best1];
6de43508 1506}
1507
1508//by marshmellow - demodulate PSK1 wave
1509//uses wave lengths (# Samples)
1510int pskRawDemod(uint8_t dest[], size_t *size, int *clock, int *invert)
1511{
e0165dcf 1512 if (size == 0) return -1;
2eec55c8 1513 uint16_t loopCnt = 4096; //don't need to loop through entire array...
e0165dcf 1514 if (*size<loopCnt) loopCnt = *size;
1515
6426f6ba 1516 size_t numBits=0;
e0165dcf 1517 uint8_t curPhase = *invert;
1518 size_t i, waveStart=1, waveEnd=0, firstFullWave=0, lastClkBit=0;
1519 uint8_t fc=0, fullWaveLen=0, tol=1;
1520 uint16_t errCnt=0, waveLenCnt=0;
2eec55c8 1521 fc = countFC(dest, *size, 0);
e0165dcf 1522 if (fc!=2 && fc!=4 && fc!=8) return -1;
1523 //PrintAndLog("DEBUG: FC: %d",fc);
1524 *clock = DetectPSKClock(dest, *size, *clock);
2eec55c8 1525 if (*clock == 0) return -1;
e0165dcf 1526 int avgWaveVal=0, lastAvgWaveVal=0;
1527 //find first phase shift
1528 for (i=0; i<loopCnt; i++){
1529 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1530 waveEnd = i+1;
1531 //PrintAndLog("DEBUG: waveEnd: %d",waveEnd);
1532 waveLenCnt = waveEnd-waveStart;
6426f6ba 1533 if (waveLenCnt > fc && waveStart > fc && !(waveLenCnt > fc+2)){ //not first peak and is a large wave but not out of whack
e0165dcf 1534 lastAvgWaveVal = avgWaveVal/(waveLenCnt);
1535 firstFullWave = waveStart;
1536 fullWaveLen=waveLenCnt;
1537 //if average wave value is > graph 0 then it is an up wave or a 1
2eec55c8 1538 if (lastAvgWaveVal > 123) curPhase ^= 1; //fudge graph 0 a little 123 vs 128
e0165dcf 1539 break;
1540 }
1541 waveStart = i+1;
1542 avgWaveVal = 0;
1543 }
2eec55c8 1544 avgWaveVal += dest[i+2];
e0165dcf 1545 }
6426f6ba 1546 if (firstFullWave == 0) {
1547 // no phase shift detected - could be all 1's or 0's - doesn't matter where we start
1548 // so skip a little to ensure we are past any Start Signal
1549 firstFullWave = 160;
1550 memset(dest, curPhase, firstFullWave / *clock);
1551 } else {
1552 memset(dest, curPhase^1, firstFullWave / *clock);
1553 }
1554 //advance bits
1555 numBits += (firstFullWave / *clock);
1556 //set start of wave as clock align
1557 lastClkBit = firstFullWave;
7fa7e812 1558 if (g_debugMode==2) prnt("DEBUG PSK: firstFullWave: %u, waveLen: %u",firstFullWave,fullWaveLen);
1559 if (g_debugMode==2) prnt("DEBUG: clk: %d, lastClkBit: %u, fc: %u", *clock, lastClkBit,(unsigned int) fc);
e0165dcf 1560 waveStart = 0;
e0165dcf 1561 dest[numBits++] = curPhase; //set first read bit
2eec55c8 1562 for (i = firstFullWave + fullWaveLen - 1; i < *size-3; i++){
e0165dcf 1563 //top edge of wave = start of new wave
1564 if (dest[i]+fc < dest[i+1] && dest[i+1] >= dest[i+2]){
1565 if (waveStart == 0) {
1566 waveStart = i+1;
2eec55c8 1567 waveLenCnt = 0;
e0165dcf 1568 avgWaveVal = dest[i+1];
1569 } else { //waveEnd
1570 waveEnd = i+1;
1571 waveLenCnt = waveEnd-waveStart;
1572 lastAvgWaveVal = avgWaveVal/waveLenCnt;
1573 if (waveLenCnt > fc){
1574 //PrintAndLog("DEBUG: avgWaveVal: %d, waveSum: %d",lastAvgWaveVal,avgWaveVal);
2eec55c8 1575 //this wave is a phase shift
e0165dcf 1576 //PrintAndLog("DEBUG: phase shift at: %d, len: %d, nextClk: %d, i: %d, fc: %d",waveStart,waveLenCnt,lastClkBit+*clock-tol,i+1,fc);
1577 if (i+1 >= lastClkBit + *clock - tol){ //should be a clock bit
2eec55c8 1578 curPhase ^= 1;
e0165dcf 1579 dest[numBits++] = curPhase;
1580 lastClkBit += *clock;
2eec55c8 1581 } else if (i < lastClkBit+10+fc){
e0165dcf 1582 //noise after a phase shift - ignore
1583 } else { //phase shift before supposed to based on clock
1584 errCnt++;
2767fc02 1585 dest[numBits++] = 7;
e0165dcf 1586 }
1587 } else if (i+1 > lastClkBit + *clock + tol + fc){
1588 lastClkBit += *clock; //no phase shift but clock bit
1589 dest[numBits++] = curPhase;
1590 }
2eec55c8 1591 avgWaveVal = 0;
1592 waveStart = i+1;
e0165dcf 1593 }
1594 }
2eec55c8 1595 avgWaveVal += dest[i+1];
e0165dcf 1596 }
1597 *size = numBits;
1598 return errCnt;
6de43508 1599}
05164399 1600
1601//by marshmellow
1602//attempt to identify a Sequence Terminator in ASK modulated raw wave
1603bool DetectST(uint8_t buffer[], size_t *size, int *foundclock) {
1604 size_t bufsize = *size;
1605 //need to loop through all samples and identify our clock, look for the ST pattern
1606 uint8_t fndClk[] = {8,16,32,40,50,64,128};
1607 int clk = 0;
1608 int tol = 0;
1609 int i, j, skip, start, end, low, high, minClk, waveStart;
1610 bool complete = false;
1611 int tmpbuff[bufsize / 64];
1612 int waveLen[bufsize / 64];
1613 size_t testsize = (bufsize < 512) ? bufsize : 512;
1614 int phaseoff = 0;
1615 high = low = 128;
1616 memset(tmpbuff, 0, sizeof(tmpbuff));
1617
1618 if ( getHiLo(buffer, testsize, &high, &low, 80, 80) == -1 ) {
1619 if (g_debugMode==2) prnt("DEBUG STT: just noise detected - quitting");
1620 return false; //just noise
1621 }
1622 i = 0;
1623 j = 0;
1624 minClk = 255;
1625 // get to first full low to prime loop and skip incomplete first pulse
1626 while ((buffer[i] < high) && (i < bufsize))
1627 ++i;
1628 while ((buffer[i] > low) && (i < bufsize))
1629 ++i;
1630 skip = i;
1631
1632 // populate tmpbuff buffer with pulse lengths
1633 while (i < bufsize) {
1634 // measure from low to low
1635 while ((buffer[i] > low) && (i < bufsize))
1636 ++i;
1637 start= i;
1638 while ((buffer[i] < high) && (i < bufsize))
1639 ++i;
1640 //first high point for this wave
1641 waveStart = i;
1642 while ((buffer[i] > low) && (i < bufsize))
1643 ++i;
1644 if (j >= (bufsize/64)) {
1645 break;
1646 }
1647 waveLen[j] = i - waveStart; //first high to first low
1648 tmpbuff[j++] = i - start;
1649 if (i-start < minClk && i < bufsize) {
1650 minClk = i - start;
1651 }
1652 }
1653 // set clock - might be able to get this externally and remove this work...
1654 if (!clk) {
1655 for (uint8_t clkCnt = 0; clkCnt<7; clkCnt++) {
1656 tol = fndClk[clkCnt]/8;
1657 if (minClk >= fndClk[clkCnt]-tol && minClk <= fndClk[clkCnt]+1) {
1658 clk=fndClk[clkCnt];
1659 break;
1660 }
1661 }
1662 // clock not found - ERROR
1663 if (!clk) {
1664 if (g_debugMode==2) prnt("DEBUG STT: clock not found - quitting");
1665 return false;
1666 }
1667 } else tol = clk/8;
1668
1669 *foundclock = clk;
1670
1671 // look for Sequence Terminator - should be pulses of clk*(1 or 1.5), clk*2, clk*(1.5 or 2)
1672 start = -1;
1673 for (i = 0; i < j - 4; ++i) {
1674 skip += tmpbuff[i];
1675 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol && waveLen[i] < clk+tol) { //1 to 2 clocks depending on 2 bits prior
1676 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1677 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1678 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
1679 start = i + 3;
1680 break;
1681 }
1682 }
1683 }
1684 }
1685 }
1686 // first ST not found - ERROR
1687 if (start < 0) {
1688 if (g_debugMode==2) prnt("DEBUG STT: first STT not found - quitting");
1689 return false;
1690 }
1691 if (waveLen[i+2] > clk*1+tol)
1692 phaseoff = 0;
1693 else
1694 phaseoff = clk/2;
1695
1696 // skip over the remainder of ST
1697 skip += clk*7/2; //3.5 clocks from tmpbuff[i] = end of st - also aligns for ending point
1698
1699 // now do it again to find the end
1700 end = skip;
1701 for (i += 3; i < j - 4; ++i) {
1702 end += tmpbuff[i];
1703 if (tmpbuff[i] >= clk*1-tol && tmpbuff[i] <= (clk*2)+tol) { //1 to 2 clocks depending on 2 bits prior
1704 if (tmpbuff[i+1] >= clk*2-tol && tmpbuff[i+1] <= clk*2+tol && waveLen[i+1] > clk*3/2-tol) { //2 clocks and wave size is 1 1/2
1705 if (tmpbuff[i+2] >= (clk*3)/2-tol && tmpbuff[i+2] <= clk*2+tol && waveLen[i+2] > clk-tol) { //1 1/2 to 2 clocks and at least one full clock wave
1706 if (tmpbuff[i+3] >= clk*1-tol && tmpbuff[i+3] <= clk*2+tol) { //1 to 2 clocks for end of ST + first bit
1707 complete = true;
1708 break;
1709 }
1710 }
1711 }
1712 }
1713 }
1714 end -= phaseoff;
1715 //didn't find second ST - ERROR
1716 if (!complete) {
1717 if (g_debugMode==2) prnt("DEBUG STT: second STT not found - quitting");
1718 return false;
1719 }
1720 if (g_debugMode==2) prnt("DEBUG STT: start of data: %d end of data: %d, datalen: %d, clk: %d, bits: %d, phaseoff: %d", skip, end, end-skip, clk, (end-skip)/clk, phaseoff);
1721 //now begin to trim out ST so we can use normal demod cmds
1722 start = skip;
1723 size_t datalen = end - start;
1724 // check validity of datalen (should be even clock increments) - use a tolerance of up to 1/8th a clock
1725 if (datalen % clk > clk/8) {
1726 if (g_debugMode==2) prnt("DEBUG STT: datalen not divisible by clk: %u %% %d = %d - quitting", datalen, clk, datalen % clk);
1727 return false;
1728 } else {
1729 // padd the amount off - could be problematic... but shouldn't happen often
1730 datalen += datalen % clk;
1731 }
1732 // if datalen is less than one t55xx block - ERROR
1733 if (datalen/clk < 8*4) {
1734 if (g_debugMode==2) prnt("DEBUG STT: datalen is less than 1 full t55xx block - quitting");
1735 return false;
1736 }
1737 size_t dataloc = start;
1738 size_t newloc = 0;
1739 i=0;
1740 // warning - overwriting buffer given with raw wave data with ST removed...
1741 while ( dataloc < bufsize-(clk/2) ) {
7fa7e812 1742 //compensate for long high at end of ST not being high due to signal loss... (and we cut out the start of wave high part)
05164399 1743 if (buffer[dataloc]<high && buffer[dataloc]>low && buffer[dataloc+3]<high && buffer[dataloc+3]>low) {
1744 for(i=0; i < clk/2-tol; ++i) {
1745 buffer[dataloc+i] = high+5;
1746 }
1747 }
1748 for (i=0; i<datalen; ++i) {
1749 if (i+newloc < bufsize) {
1750 if (i+newloc < dataloc)
1751 buffer[i+newloc] = buffer[dataloc];
1752
1753 dataloc++;
1754 }
1755 }
1756 newloc += i;
7fa7e812 1757 //skip next ST - we just assume it will be there from now on...
05164399 1758 dataloc += clk*4;
1759 }
1760 *size = newloc;
1761 return true;
1762}
Impressum, Datenschutz