]> git.zerfleddert.de Git - proxmark3-svn/blobdiff - armsrc/lfsampling.c
FIX: Some uninitialized variables, some syntax suger, and some extra WDT_HIT calls...
[proxmark3-svn] / armsrc / lfsampling.c
index f858dc1c4e00eb7bc5a209af632c886b7412f9c3..3a502eb683318e4ebb36b754191ffc8b7bf710ea 100644 (file)
@@ -17,7 +17,7 @@ sample_config config = { 1, 8, 1, 95, 0 } ;
 
 void printConfig()
 {
-       Dbprintf("Sampling config: ");
+       Dbprintf("LF Sampling config: ");
        Dbprintf("  [q] divisor:           %d ", config.divisor);
        Dbprintf("  [b] bps:               %d ", config.bits_per_sample);
        Dbprintf("  [d] decimation:        %d ", config.decimation);
@@ -40,11 +40,11 @@ void printConfig()
 void setSamplingConfig(sample_config *sc)
 {
        if(sc->divisor != 0) config.divisor = sc->divisor;
-       if(sc->bits_per_sample!= 0) config.bits_per_sample= sc->bits_per_sample;
-       if(sc->decimation!= 0) config.decimation= sc->decimation;
-       if(sc->trigger_threshold != -1) config.trigger_threshold= sc->trigger_threshold;
+       if(sc->bits_per_sample != 0) config.bits_per_sample = sc->bits_per_sample;
+       if(sc->decimation != 0) config.decimation = sc->decimation;
+       if(sc->trigger_threshold != -1) config.trigger_threshold = sc->trigger_threshold;
 
-       config.averaging= sc->averaging;
+       config.averaging = sc->averaging;
        if(config.bits_per_sample > 8)  config.bits_per_sample = 8;
        if(config.decimation < 1)       config.decimation = 1;
 
@@ -121,11 +121,11 @@ void LFSetupFPGAForADC(int divisor, bool lf_field)
  */
 uint32_t DoAcquisition(uint8_t decimation, uint32_t bits_per_sample, bool averaging, int trigger_threshold,bool silent)
 {
-       //.
+       //bigbuf, to hold the aquired raw data signal
        uint8_t *dest = BigBuf_get_addr();
-    int bufsize = BigBuf_max_traceLen();
+    uint16_t bufsize = BigBuf_max_traceLen();
 
-       memset(dest, 0, bufsize);
+       BigBuf_Clear_ext(false);
 
        if(bits_per_sample < 1) bits_per_sample = 1;
        if(bits_per_sample > 8) bits_per_sample = 8;
@@ -141,7 +141,7 @@ uint32_t DoAcquisition(uint8_t decimation, uint32_t bits_per_sample, bool averag
        uint32_t sample_total_numbers =0 ;
        uint32_t sample_total_saved =0 ;
 
-       while(!BUTTON_PRESS()) {
+       while(!BUTTON_PRESS() && !usb_poll_validate_length() ) {
                WDT_HIT();
                if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
                        AT91C_BASE_SSC->SSC_THR = 0x43;
@@ -244,8 +244,74 @@ uint32_t SampleLF(bool printCfg)
 * Initializes the FPGA for snoop-mode (field off), and acquires the samples.
 * @return number of bits sampled
 **/
-
-uint32_t SnoopLF()
-{
+uint32_t SnoopLF() {
        return ReadLF(false, true);
 }
+
+/**
+* acquisition of T55x7 LF signal. Similart to other LF, but adjusted with @marshmellows thresholds
+* the data is collected in BigBuf.
+**/
+void doT55x7Acquisition(size_t sample_size) {
+
+       #define T55xx_READ_UPPER_THRESHOLD 128+60  // 60 grph
+       #define T55xx_READ_LOWER_THRESHOLD 128-60  // -60 grph
+       #define T55xx_READ_TOL   5
+
+       uint8_t *dest = BigBuf_get_addr();
+       uint16_t bufsize = BigBuf_max_traceLen();
+       
+       if ( bufsize > sample_size )
+               bufsize = sample_size;
+
+       uint16_t i = 0;
+       bool startFound = false;
+       bool highFound = false;
+       bool lowFound = false;
+       uint8_t curSample = 0;
+       uint8_t lastSample = 0;
+       uint16_t skipCnt = 0;
+       while(!BUTTON_PRESS() && !usb_poll_validate_length() && skipCnt < 1000 && (i < bufsize) ) {
+               WDT_HIT();              
+               if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_TXRDY) {
+                       AT91C_BASE_SSC->SSC_THR = 0x43;
+                       LED_D_ON();
+               }
+               if (AT91C_BASE_SSC->SSC_SR & AT91C_SSC_RXRDY) {
+                       curSample = (uint8_t)AT91C_BASE_SSC->SSC_RHR;   
+                       LED_D_OFF();
+               
+                       // skip until the first high sample above threshold
+                       if (!startFound && curSample > T55xx_READ_UPPER_THRESHOLD) {
+                               //if (curSample > lastSample) 
+                               //      lastSample = curSample;
+                               highFound = true;
+                       } else if (!highFound) {
+                               skipCnt++;
+                               continue;
+                       }
+                       // skip until the first Low sample below threshold
+                       if (!startFound && curSample < T55xx_READ_LOWER_THRESHOLD) {
+                               //if (curSample > lastSample) 
+                               lastSample = curSample;
+                               lowFound = true;
+                       } else if (!lowFound) {
+                               skipCnt++;
+                               continue;
+                       }
+
+
+                       // skip until first high samples begin to change
+                       if (startFound || curSample > T55xx_READ_LOWER_THRESHOLD+T55xx_READ_TOL){
+                               // if just found start - recover last sample
+                               if (!startFound) {
+                                       dest[i++] = lastSample;
+                                       startFound = true;
+                               }
+                               // collect samples
+                               dest[i++] = curSample;
+                       }
+               }
+       }
+}
+                       
\ No newline at end of file
Impressum, Datenschutz