X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/proxmark3-svn/blobdiff_plain/614da335f6e2c35ea8620cecacdde8270111e5b1..aaa1a9a2dcb8b9f2b6acd937f84464daa50ecad6:/armsrc/appmain.c diff --git a/armsrc/appmain.c b/armsrc/appmain.c index ed9b559a..2ba3d75c 100644 --- a/armsrc/appmain.c +++ b/armsrc/appmain.c @@ -22,7 +22,8 @@ #include #include "legicrf.h" -#include +#include "hitag2.h" +#include "hitagS.h" #include "lfsampling.h" #include "BigBuf.h" #include "mifareutil.h" @@ -57,19 +58,17 @@ void ToSendReset(void) ToSendBit = 8; } -void ToSendStuffBit(int b) -{ +void ToSendStuffBit(int b) { if(ToSendBit >= 8) { - ToSendMax++; + ++ToSendMax; ToSend[ToSendMax] = 0; ToSendBit = 0; } - if(b) { + if(b) ToSend[ToSendMax] |= (1 << (7 - ToSendBit)); - } - ToSendBit++; + ++ToSendBit; if(ToSendMax >= sizeof(ToSend)) { ToSendBit = 0; @@ -81,22 +80,35 @@ void ToSendStuffBit(int b) // Debug print functions, to go out over USB, to the usual PC-side client. //============================================================================= -void DbpString(char *str) -{ - byte_t len = strlen(str); - cmd_send(CMD_DEBUG_PRINT_STRING,len,0,0,(byte_t*)str,len); +void DbpStringEx(char *str, uint32_t cmd){ + byte_t len = strlen(str); + cmd_send(CMD_DEBUG_PRINT_STRING,len, cmd,0,(byte_t*)str,len); +} + +void DbpString(char *str) { + DbpStringEx(str, 0); } #if 0 -void DbpIntegers(int x1, int x2, int x3) -{ - cmd_send(CMD_DEBUG_PRINT_INTEGERS,x1,x2,x3,0,0); +void DbpIntegers(int x1, int x2, int x3) { + cmd_send(CMD_DEBUG_PRINT_INTEGERS,x1,x2,x3,0,0); } #endif +void DbprintfEx(uint32_t cmd, const char *fmt, ...) { + // should probably limit size here; oh well, let's just use a big buffer + char output_string[128] = {0x00}; + va_list ap; + + va_start(ap, fmt); + kvsprintf(fmt, output_string, 10, ap); + va_end(ap); + + DbpStringEx(output_string, cmd); +} void Dbprintf(const char *fmt, ...) { -// should probably limit size here; oh well, let's just use a big buffer - char output_string[128]; + // should probably limit size here; oh well, let's just use a big buffer + char output_string[128] = {0x00}; va_list ap; va_start(ap, fmt); @@ -108,28 +120,27 @@ void Dbprintf(const char *fmt, ...) { // prints HEX & ASCII void Dbhexdump(int len, uint8_t *d, bool bAsci) { - int l=0,i; + int l=0, i; char ascii[9]; while (len>0) { - if (len>8) l=8; - else l=len; + + l = (len>8) ? 8 : len; memcpy(ascii,d,l); ascii[l]=0; // filter safe ascii - for (i=0;i126) ascii[i]='.'; - if (bAsci) { + if (bAsci) Dbprintf("%-8s %*D",ascii,l,d," "); - } else { + else Dbprintf("%*D",l,d," "); - } - len-=8; - d+=8; + len -= 8; + d += 8; } } @@ -163,10 +174,9 @@ static int ReadAdc(int ch) AT91C_BASE_ADC->ADC_CR = AT91C_ADC_START; - while(!(AT91C_BASE_ADC->ADC_SR & ADC_END_OF_CONVERSION(ch))) - ; + while (!(AT91C_BASE_ADC->ADC_SR & ADC_END_OF_CONVERSION(ch))) ; + d = AT91C_BASE_ADC->ADC_CDR[ch]; - return d; } @@ -175,15 +185,13 @@ int AvgAdc(int ch) // was static - merlok int i; int a = 0; - for(i = 0; i < 32; i++) { + for(i = 0; i < 32; ++i) a += ReadAdc(ch); - } return (a + 15) >> 5; } -void MeasureAntennaTuning(void) -{ +void MeasureAntennaTuning(void) { uint8_t LF_Results[256]; int i, adcval = 0, peak = 0, peakv = 0, peakf = 0; //ptr = 0 int vLf125 = 0, vLf134 = 0, vHf = 0; // in mV @@ -201,8 +209,9 @@ void MeasureAntennaTuning(void) FpgaDownloadAndGo(FPGA_BITSTREAM_LF); FpgaWriteConfWord(FPGA_MAJOR_MODE_LF_ADC | FPGA_LF_ADC_READER_FIELD); + for (i=255; i>=19; i--) { - WDT_HIT(); + WDT_HIT(); FpgaSendCommand(FPGA_CMD_SET_DIVISOR, i); SpinDelay(20); adcval = ((MAX_ADC_LF_VOLTAGE * AvgAdc(ADC_CHAN_LF)) >> 10); @@ -229,37 +238,28 @@ void MeasureAntennaTuning(void) cmd_send(CMD_MEASURED_ANTENNA_TUNING, vLf125 | (vLf134<<16), vHf, peakf | (peakv<<16), LF_Results, 256); FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); - LED_A_OFF(); - LED_B_OFF(); - return; + + LEDsoff(); } -void MeasureAntennaTuningHf(void) -{ +void MeasureAntennaTuningHf(void) { int vHf = 0; // in mV - - DbpString("Measuring HF antenna, press button to exit"); - // Let the FPGA drive the high-frequency antenna around 13.56 MHz. FpgaDownloadAndGo(FPGA_BITSTREAM_HF); FpgaWriteConfWord(FPGA_MAJOR_MODE_HF_READER_RX_XCORR); - for (;;) { + while ( !BUTTON_PRESS() ){ SpinDelay(20); vHf = (MAX_ADC_HF_VOLTAGE * AvgAdc(ADC_CHAN_HF)) >> 10; - - Dbprintf("%d mV",vHf); - if (BUTTON_PRESS()) break; + //Dbprintf("%d mV",vHf); + DbprintfEx(CMD_MEASURE_ANTENNA_TUNING_HF, "%d mV",vHf); } - DbpString("cancelled"); - FpgaWriteConfWord(FPGA_MAJOR_MODE_OFF); - + DbpString("cancelled"); } -void ReadMem(int addr) -{ +void ReadMem(int addr) { const uint8_t *data = ((uint8_t *)addr); Dbprintf("%x: %02x %02x %02x %02x %02x %02x %02x %02x", @@ -280,6 +280,7 @@ void SendVersion(void) * pointer, then use it. */ char *bootrom_version = *(char**)&_bootphase1_version_pointer; + if( bootrom_version < &_flash_start || bootrom_version >= &_flash_end ) { strcat(VersionString, "bootrom version information appears invalid\n"); } else { @@ -292,6 +293,7 @@ void SendVersion(void) FpgaGatherVersion(FPGA_BITSTREAM_LF, temp, sizeof(temp)); strncat(VersionString, temp, sizeof(VersionString) - strlen(VersionString) - 1); + FpgaGatherVersion(FPGA_BITSTREAM_HF, temp, sizeof(temp)); strncat(VersionString, temp, sizeof(VersionString) - strlen(VersionString) - 1); @@ -333,8 +335,7 @@ void printUSBSpeed(void) /** * Prints runtime information about the PM3. **/ -void SendStatus(void) -{ +void SendStatus(void) { BigBuf_print_status(); Fpga_print_status(); printConfig(); //LF Sampling config @@ -405,8 +406,8 @@ void StandAloneMode14a() /* need this delay to prevent catching some weird data */ SpinDelay(500); /* Code for reading from 14a tag */ - uint8_t uid[10] ={0}; - uint32_t cuid; + uint8_t uid[10] = {0}; + uint32_t cuid = 0; iso14443a_setup(FPGA_HF_ISO14443A_READER_MOD); for ( ; ; ) @@ -661,7 +662,7 @@ void SamyRun() SpinDelay(500); CmdHIDdemodFSK(1, &high[selected], &low[selected], 0); - Dbprintf("Recorded %x %x %x", selected, high[selected], low[selected]); + Dbprintf("Recorded %x %x %08x", selected, high[selected], low[selected]); LEDsoff(); LED(selected + 1, 0); @@ -677,7 +678,7 @@ void SamyRun() LED(LED_ORANGE, 0); // record - Dbprintf("Cloning %x %x %x", selected, high[selected], low[selected]); + Dbprintf("Cloning %x %x %08x", selected, high[selected], low[selected]); // wait for button to be released while(BUTTON_PRESS()) @@ -686,8 +687,8 @@ void SamyRun() /* need this delay to prevent catching some weird data */ SpinDelay(500); - CopyHIDtoT55x7(high[selected], low[selected], 0, 0); - Dbprintf("Cloned %x %x %x", selected, high[selected], low[selected]); + CopyHIDtoT55x7(0, high[selected], low[selected], 0); + Dbprintf("Cloned %x %x %08x", selected, high[selected], low[selected]); LEDsoff(); LED(selected + 1, 0); @@ -717,15 +718,16 @@ void SamyRun() // wait for button to be released while(BUTTON_PRESS()) WDT_HIT(); - Dbprintf("%x %x %x", selected, high[selected], low[selected]); - CmdHIDsimTAG(high[selected], low[selected], 0); + + Dbprintf("%x %x %08x", selected, high[selected], low[selected]); + CmdHIDsimTAG(high[selected], low[selected], 0); DbpString("Done playing"); - if (BUTTON_HELD(1000) > 0) - { + + if (BUTTON_HELD(1000) > 0) { DbpString("Exiting"); LEDsoff(); return; - } + } /* We pressed a button so ignore it here with a delay */ SpinDelay(300); @@ -781,16 +783,14 @@ static const char LIGHT_SCHEME[] = { }; static const int LIGHT_LEN = sizeof(LIGHT_SCHEME)/sizeof(LIGHT_SCHEME[0]); -void ListenReaderField(int limit) -{ - int lf_av, lf_av_new, lf_baseline= 0, lf_max; - int hf_av, hf_av_new, hf_baseline= 0, hf_max; - int mode=1, display_val, display_max, i; - +void ListenReaderField(int limit) { #define LF_ONLY 1 #define HF_ONLY 2 #define REPORT_CHANGE 10 // report new values only if they have changed at least by REPORT_CHANGE + int lf_av, lf_av_new, lf_baseline= 0, lf_max; + int hf_av, hf_av_new, hf_baseline= 0, hf_max; + int mode=1, display_val, display_max, i; // switch off FPGA - we don't want to measure our own signal FpgaDownloadAndGo(FPGA_BITSTREAM_HF); @@ -1007,6 +1007,18 @@ void UsbPacketReceived(uint8_t *packet, int len) case CMD_READER_HITAG: // Reader for Hitag tags, args = type and function ReaderHitag((hitag_function)c->arg[0],(hitag_data*)c->d.asBytes); break; + case CMD_SIMULATE_HITAG_S:// Simulate Hitag s tag, args = memory content + SimulateHitagSTag((bool)c->arg[0],(byte_t*)c->d.asBytes); + break; + case CMD_TEST_HITAGS_TRACES:// Tests every challenge within the given file + check_challenges((bool)c->arg[0],(byte_t*)c->d.asBytes); + break; + case CMD_READ_HITAG_S://Reader for only Hitag S tags, args = key or challenge + ReadHitagS((hitag_function)c->arg[0],(hitag_data*)c->d.asBytes); + break; + case CMD_WR_HITAG_S://writer for Hitag tags args=data to write,page and key or challenge + WritePageHitagS((hitag_function)c->arg[0],(hitag_data*)c->d.asBytes,c->arg[2]); + break; #endif #ifdef WITH_ISO15693 @@ -1088,7 +1100,7 @@ void UsbPacketReceived(uint8_t *packet, int len) break; case CMD_READER_MIFARE: - ReaderMifare(c->arg[0]); + ReaderMifare(c->arg[0], c->arg[1]); break; case CMD_MIFARE_READBL: MifareReadBlock(c->arg[0], c->arg[1], c->arg[2], c->d.asBytes); @@ -1185,7 +1197,19 @@ void UsbPacketReceived(uint8_t *packet, int len) case CMD_MIFARE_COLLECT_NONCES: break; #endif - +#ifdef WITH_EMV + case CMD_EMV_TRANSACTION: + EMVTransaction(); + break; + case CMD_EMV_GET_RANDOM_NUM: + //EMVgetUDOL(); + break; + case CMD_EMV_LOAD_VALUE: + EMVloadvalue(c->arg[0], c->d.asBytes); + break; + case CMD_EMV_DUMP_CARD: + EMVdumpcard(); +#endif #ifdef WITH_ICLASS // Makes use of ISO14443a FPGA Firmware case CMD_SNOOP_ICLASS: @@ -1250,8 +1274,7 @@ void UsbPacketReceived(uint8_t *packet, int len) LED_D_OFF(); // LED D indicates field ON or OFF break; - case CMD_DOWNLOAD_RAW_ADC_SAMPLES_125K: - + case CMD_DOWNLOAD_RAW_ADC_SAMPLES_125K: { LED_B_ON(); uint8_t *BigBuf = BigBuf_get_addr(); size_t len = 0; @@ -1263,13 +1286,26 @@ void UsbPacketReceived(uint8_t *packet, int len) cmd_send(CMD_ACK,1,0,BigBuf_get_traceLen(),getSamplingConfig(),sizeof(sample_config)); LED_B_OFF(); break; - + } case CMD_DOWNLOADED_SIM_SAMPLES_125K: { uint8_t *b = BigBuf_get_addr(); - memcpy(b+c->arg[0], c->d.asBytes, USB_CMD_DATA_SIZE); + memcpy( b + c->arg[0], c->d.asBytes, USB_CMD_DATA_SIZE); cmd_send(CMD_ACK,0,0,0,0,0); break; - } + } + case CMD_DOWNLOAD_EML_BIGBUF: { + LED_B_ON(); + uint8_t *cardmem = BigBuf_get_EM_addr(); + size_t len = 0; + for(size_t i=0; i < c->arg[1]; i += USB_CMD_DATA_SIZE) { + len = MIN((c->arg[1] - i), USB_CMD_DATA_SIZE); + cmd_send(CMD_DOWNLOADED_EML_BIGBUF, i, len, CARD_MEMORY_SIZE, cardmem + c->arg[0] + i, len); + } + // Trigger a finish downloading signal with an ACK frame + cmd_send(CMD_ACK, 1, 0, CARD_MEMORY_SIZE, 0, 0); + LED_B_OFF(); + break; + } case CMD_READ_MEM: ReadMem(c->arg[0]); break; @@ -1387,9 +1423,8 @@ void __attribute__((noreturn)) AppMain(void) for(;;) { if (usb_poll()) { rx_len = usb_read(rx,sizeof(UsbCommand)); - if (rx_len) { + if (rx_len) UsbPacketReceived(rx,rx_len); - } } WDT_HIT();