]> git.zerfleddert.de Git - raggedstone/blame - dhwk/dhwk.ucf
loopback
[raggedstone] / dhwk / dhwk.ucf
CommitLineData
1edb7242 1#NET "KONST_1" LOC="" | IOSTANDARD = PCI33_3 ;
2#NET "R_EFn" LOC="" | IOSTANDARD = PCI33_3 ;
3#NET "R_FFn" LOC="" | IOSTANDARD = PCI33_3 ;
4#NET "R_FIFO_Q_OUT" LOC="" | IOSTANDARD = PCI33_3 ;
5#NET "R_HFn" LOC="" | IOSTANDARD = PCI33_3 ;
6#NET "S_EFn" LOC="" | IOSTANDARD = PCI33_3 ;
7#NET "S_FFn" LOC="" | IOSTANDARD = PCI33_3 ;
8#NET "S_FIFO_Q_OUT" LOC="" | IOSTANDARD = PCI33_3 ;
9#NET "S_HFn" LOC="" | IOSTANDARD = PCI33_3 ;
10#NET "SERIAL_IN" LOC="" | IOSTANDARD = PCI33_3 ;
11#NET "SPC_RDY_IN" LOC="" | IOSTANDARD = PCI33_3 ;
12#NET "TAST_RESn" LOC="" | IOSTANDARD = PCI33_3 ;
13#NET "TAST_SETn" LOC="" | IOSTANDARD = PCI33_3 ;
14#NET "R_FIFO_D_IN" LOC="" | IOSTANDARD = PCI33_3 ;
15#NET "R_FIFO_READn" LOC="" | IOSTANDARD = PCI33_3 ;
16#NET "R_FIFO_RESETn" LOC="" | IOSTANDARD = PCI33_3 ;
17#NET "R_FIFO_RTn" LOC="" | IOSTANDARD = PCI33_3 ;
18#NET "R_FIFO_WRITEn" LOC="" | IOSTANDARD = PCI33_3 ;
19#NET "S_FIFO_D_IN" LOC="" | IOSTANDARD = PCI33_3 ;
20#NET "S_FIFO_READn" LOC="" | IOSTANDARD = PCI33_3 ;
21#NET "S_FIFO_RESETn" LOC="" | IOSTANDARD = PCI33_3 ;
22#NET "S_FIFO_RTn" LOC="" | IOSTANDARD = PCI33_3 ;
23#NET "S_FIFO_WRITEn" LOC="" | IOSTANDARD = PCI33_3 ;
24#NET "SERIAL_OUT" LOC="" | IOSTANDARD = PCI33_3 ;
25#NET "SPC_RDY_OUT" LOC="" | IOSTANDARD = PCI33_3 ;
26#NET "TB_IDSEL" LOC="" | IOSTANDARD = PCI33_3 ;
27#NET "TB_nDEVSEL" LOC="" | IOSTANDARD = PCI33_3 ;
28#NET "TB_nINTA" LOC="" | IOSTANDARD = PCI33_3 ;
29
30# Kommentar
377c0242 31NET "PCI_AD<0>" LOC = "A5" | IOSTANDARD = PCI33_3 ;
32NET "PCI_AD<10>" LOC = "E9" | IOSTANDARD = PCI33_3 ;
33NET "PCI_AD<11>" LOC = "F11" | IOSTANDARD = PCI33_3 ;
34NET "PCI_AD<12>" LOC = "E10" | IOSTANDARD = PCI33_3 ;
35NET "PCI_AD<13>" LOC = "A8" | IOSTANDARD = PCI33_3 ;
36NET "PCI_AD<14>" LOC = "B9" | IOSTANDARD = PCI33_3 ;
37NET "PCI_AD<15>" LOC = "B10" | IOSTANDARD = PCI33_3 ;
38NET "PCI_AD<16>" LOC = "F17" | IOSTANDARD = PCI33_3 ;
39NET "PCI_AD<17>" LOC = "F16" | IOSTANDARD = PCI33_3 ;
40NET "PCI_AD<18>" LOC = "A14" | IOSTANDARD = PCI33_3 ;
41NET "PCI_AD<19>" LOC = "B14" | IOSTANDARD = PCI33_3 ;
42NET "PCI_AD<1>" LOC = "B5" | IOSTANDARD = PCI33_3 ;
43NET "PCI_AD<20>" LOC = "B15" | IOSTANDARD = PCI33_3 ;
44NET "PCI_AD<21>" LOC = "A15" | IOSTANDARD = PCI33_3 ;
45NET "PCI_AD<22>" LOC = "F12" | IOSTANDARD = PCI33_3 ;
46NET "PCI_AD<23>" LOC = "F13" | IOSTANDARD = PCI33_3 ;
47NET "PCI_AD<24>" LOC = "D15" | IOSTANDARD = PCI33_3 ;
48NET "PCI_AD<25>" LOC = "E15" | IOSTANDARD = PCI33_3 ;
49NET "PCI_AD<26>" LOC = "D17" | IOSTANDARD = PCI33_3 ;
50NET "PCI_AD<27>" LOC = "C17" | IOSTANDARD = PCI33_3 ;
51NET "PCI_AD<28>" LOC = "B17" | IOSTANDARD = PCI33_3 ;
52NET "PCI_AD<29>" LOC = "E17" | IOSTANDARD = PCI33_3 ;
53NET "PCI_AD<2>" LOC = "E6" | IOSTANDARD = PCI33_3 ;
54NET "PCI_AD<30>" LOC = "A18" | IOSTANDARD = PCI33_3 ;
55NET "PCI_AD<31>" LOC = "B18" | IOSTANDARD = PCI33_3 ;
56NET "PCI_AD<3>" LOC = "D6" | IOSTANDARD = PCI33_3 ;
57NET "PCI_AD<4>" LOC = "C6" | IOSTANDARD = PCI33_3 ;
58NET "PCI_AD<5>" LOC = "B6" | IOSTANDARD = PCI33_3 ;
59NET "PCI_AD<6>" LOC = "D7" | IOSTANDARD = PCI33_3 ;
60NET "PCI_AD<7>" LOC = "E7" | IOSTANDARD = PCI33_3 ;
61NET "PCI_AD<8>" LOC = "B8" | IOSTANDARD = PCI33_3 ;
62NET "PCI_AD<9>" LOC = "F10" | IOSTANDARD = PCI33_3 ;
1edb7242 63NET "PCI_CLOCK" LOC = "A11" | IOSTANDARD = PCI33_3 ;
377c0242 64NET "PCI_IDSEL" LOC = "D14" | IOSTANDARD = PCI33_3 ;
1edb7242 65NET "PCI_CBEn<0>" LOC = "F9" | IOSTANDARD = PCI33_3 ;
66NET "PCI_CBEn<1>" LOC = "C10" | IOSTANDARD = PCI33_3 ;
67NET "PCI_CBEn<2>" LOC = "D13" | IOSTANDARD = PCI33_3 ;
68NET "PCI_CBEn<3>" LOC = "E13" | IOSTANDARD = PCI33_3 ;
69NET "PCI_FRAMEn" LOC = "C13" | IOSTANDARD = PCI33_3 ;
70NET "PCI_IRDYn" LOC = "A13" | IOSTANDARD = PCI33_3 ;
71NET "PCI_RSTn" LOC = "A19" | IOSTANDARD = PCI33_3 ;
72NET "PCI_DEVSELn" LOC = "E12" | IOSTANDARD = PCI33_3 ;
73NET "PCI_INTAn" LOC = "B19" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
74NET "PCI_PERRn" LOC = "D12" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
75NET "PCI_SERRn" LOC = "B12" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
76NET "PCI_STOPn" LOC = "A12" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
77NET "PCI_TRDYn" LOC = "B13" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
377c0242 78NET "PCI_PAR" LOC = "A9" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
Impressum, Datenschutz