]> git.zerfleddert.de Git - raggedstone/blame - dhwk/source/config_00h.vhd
identing
[raggedstone] / dhwk / source / config_00h.vhd
CommitLineData
696ded12 1-- J.STELZNER
2-- INFORMATIK-3 LABOR
3-- 23.08.2006
4-- File: CONFIG_00H.VHD
5
6library IEEE;
7use IEEE.std_logic_1164.all;
8
9entity CONFIG_00H is
2612d712 10 port
11 (
12 VENDOR_ID :in std_logic_vector (15 downto 0);
13 CONF_DATA_00H :out std_logic_vector (31 downto 0)
14 );
696ded12 15end entity CONFIG_00H;
16
17architecture CONFIG_00H_DESIGN of CONFIG_00H is
18
2612d712 19 -- PCI Configuration Space Header Addr : HEX 00 --
696ded12 20
2612d712 21 constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";--????
22 --constant CONF_VENDOR_ID :std_logic_vector(15 downto 0) := X"BAFF";--????
696ded12 23
24begin
25
2612d712 26 CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;
696ded12 27
28end architecture CONFIG_00H_DESIGN;
Impressum, Datenschutz