]> git.zerfleddert.de Git - raggedstone/blame - dhwk/dhwk.cpj
add shit
[raggedstone] / dhwk / dhwk.cpj
CommitLineData
1afff8d4 1#ChipScope Pro Analyzer Project File, Version 3.0
1e992b68 2#Mon Mar 12 00:15:32 GMT+01:00 2007
1afff8d4 3deviceChain.deviceName0=XCF02S
4deviceChain.deviceName1=XCF04S
5deviceChain.deviceName2=XC3S1500
6deviceChain.iRLength0=8
7deviceChain.iRLength1=8
8deviceChain.iRLength2=6
9deviceChain.name0=MyDevice0
10deviceChain.name1=MyDevice1
11deviceChain.name2=MyDevice2
12deviceIds=050450930504609301434093
1e992b68 13mdiAreaHeight=0.8411330049261084
14mdiAreaHeightLast=0.8780788177339901
d71e9a2a 15mdiCount=3
1afff8d4 16mdiDevice0=2
17mdiDevice1=2
d71e9a2a 18mdiDevice2=2
19mdiType0=6
20mdiType1=1
21mdiType2=0
22mdiUnit0=1
1afff8d4 23mdiUnit1=0
d71e9a2a 24mdiUnit2=0
1e992b68 25navigatorHeight=0.17118226600985223
26navigatorHeightLast=0.17118226600985223
27navigatorWidth=0.1816326530612245
28navigatorWidthLast=0.1816326530612245
1afff8d4 29unit.-1.-1.username=
1e992b68 30unit.2.0.0.HEIGHT0=0.30294117
1afff8d4 31unit.2.0.0.TriggerRow0=1
32unit.2.0.0.TriggerRow1=1
33unit.2.0.0.TriggerRow2=1
1e992b68 34unit.2.0.0.WIDTH0=0.99746835
1afff8d4 35unit.2.0.0.X0=0.0
36unit.2.0.0.Y0=0.0
1e992b68 37unit.2.0.1.HEIGHT1=0.7176471
38unit.2.0.1.WIDTH1=0.9987342
39unit.2.0.1.X1=-0.0012658228
40unit.2.0.1.Y1=0.28382352
68c98669 41unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
114b8f21 42unit.2.0.MFBitsB0=00000000000000000000000000000000
3ddb8de3 43unit.2.0.MFCompareA0=0
1afff8d4 44unit.2.0.MFCompareB0=999
45unit.2.0.MFCount=1
46unit.2.0.MFDisplay0=0
47unit.2.0.MFEventType0=3
48unit.2.0.SQCondition=All Data
49unit.2.0.SQContiguous0=0
50unit.2.0.SequencerOn=0
51unit.2.0.TCActive=0
52unit.2.0.TCAdvanced0=0
53unit.2.0.TCCondition0_0=M0
54unit.2.0.TCCondition0_1=
55unit.2.0.TCConditionType0=0
56unit.2.0.TCCount=1
57unit.2.0.TCEventCount0=1
58unit.2.0.TCEventType0=3
59unit.2.0.TCName0=TriggerCondition0
60unit.2.0.TCOutputEnable0=0
61unit.2.0.TCOutputHigh0=1
62unit.2.0.TCOutputMode0=0
68c98669 63unit.2.0.browser_tree_state<CBE_ADDR>=0
64unit.2.0.browser_tree_state<CBEn>=0
65unit.2.0.browser_tree_state<Data\ Port>=1
114b8f21 66unit.2.0.browser_tree_state<PCI>=0
67unit.2.0.browser_tree_state<R_FIFO>=0
e35799b4 68unit.2.0.browser_tree_state<S_FIFO>=0
68c98669 69unit.2.0.browser_tree_state<Trigger\ Ports>=0
70unit.2.0.browser_tree_state<TriggerPort0>=0
1afff8d4 71unit.2.0.coretype=ILA
72unit.2.0.eventCount0=1
114b8f21 73unit.2.0.port.-1.b.0.alias=CBEn
74unit.2.0.port.-1.b.0.channellist=67 68 69 70
1afff8d4 75unit.2.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
76unit.2.0.port.-1.b.0.name=DataPort
77unit.2.0.port.-1.b.0.orderindex=-1
114b8f21 78unit.2.0.port.-1.b.0.radix=Token
1afff8d4 79unit.2.0.port.-1.b.0.signedOffset=0.0
80unit.2.0.port.-1.b.0.signedPrecision=0
81unit.2.0.port.-1.b.0.signedScaleFactor=1.0
114b8f21 82unit.2.0.port.-1.b.0.t.0.token=CFG_Write
83unit.2.0.port.-1.b.0.t.0.value=1011\\b
84unit.2.0.port.-1.b.0.t.1.token=CFG_Read
85unit.2.0.port.-1.b.0.t.1.value=1010\\b
86unit.2.0.port.-1.b.0.t.10.token=MEM_WriteAndInv
87unit.2.0.port.-1.b.0.t.10.value=1111\\b
88unit.2.0.port.-1.b.0.t.11.token=MEM_ReadLine
89unit.2.0.port.-1.b.0.t.11.value=1110\\b
90unit.2.0.port.-1.b.0.t.2.token=MEM_Write
91unit.2.0.port.-1.b.0.t.2.value=111\\b
92unit.2.0.port.-1.b.0.t.3.token=MEM_Read
93unit.2.0.port.-1.b.0.t.3.value=110\\b
94unit.2.0.port.-1.b.0.t.4.token=Special_Cycle
95unit.2.0.port.-1.b.0.t.4.value=1\\b
96unit.2.0.port.-1.b.0.t.5.token=INT_ACK
97unit.2.0.port.-1.b.0.t.5.value=\\b
98unit.2.0.port.-1.b.0.t.6.token=IO_Write
99unit.2.0.port.-1.b.0.t.6.value=11\\b
100unit.2.0.port.-1.b.0.t.7.token=IO_Read
101unit.2.0.port.-1.b.0.t.7.value=10\\b
102unit.2.0.port.-1.b.0.t.8.token=DAC
103unit.2.0.port.-1.b.0.t.8.value=1101\\b
104unit.2.0.port.-1.b.0.t.9.token=MEM_ReadMult
105unit.2.0.port.-1.b.0.t.9.value=1100\\b
106unit.2.0.port.-1.b.0.tokencount=12
1afff8d4 107unit.2.0.port.-1.b.0.unsignedOffset=0.0
108unit.2.0.port.-1.b.0.unsignedPrecision=0
109unit.2.0.port.-1.b.0.unsignedScaleFactor=1.0
110unit.2.0.port.-1.b.0.visible=1
114b8f21 111unit.2.0.port.-1.b.1.alias=CBE_ADDR
112unit.2.0.port.-1.b.1.channellist=35 36 37 38 39 40 41 42
e35799b4 113unit.2.0.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
114unit.2.0.port.-1.b.1.name=DataPort
115unit.2.0.port.-1.b.1.orderindex=-1
116unit.2.0.port.-1.b.1.radix=Hex
117unit.2.0.port.-1.b.1.signedOffset=0.0
118unit.2.0.port.-1.b.1.signedPrecision=0
119unit.2.0.port.-1.b.1.signedScaleFactor=1.0
120unit.2.0.port.-1.b.1.tokencount=0
121unit.2.0.port.-1.b.1.unsignedOffset=0.0
122unit.2.0.port.-1.b.1.unsignedPrecision=0
123unit.2.0.port.-1.b.1.unsignedScaleFactor=1.0
124unit.2.0.port.-1.b.1.visible=1
114b8f21 125unit.2.0.port.-1.b.2.alias=PCI
126unit.2.0.port.-1.b.2.channellist=35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66
127unit.2.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
128unit.2.0.port.-1.b.2.name=DataPort
129unit.2.0.port.-1.b.2.orderindex=-1
130unit.2.0.port.-1.b.2.radix=Hex
131unit.2.0.port.-1.b.2.signedOffset=0.0
132unit.2.0.port.-1.b.2.signedPrecision=0
133unit.2.0.port.-1.b.2.signedScaleFactor=1.0
134unit.2.0.port.-1.b.2.tokencount=0
135unit.2.0.port.-1.b.2.unsignedOffset=0.0
136unit.2.0.port.-1.b.2.unsignedPrecision=0
137unit.2.0.port.-1.b.2.unsignedScaleFactor=1.0
138unit.2.0.port.-1.b.2.visible=1
139unit.2.0.port.-1.b.3.alias=R_FIFO
140unit.2.0.port.-1.b.3.channellist=27 28 29 30 31 32 33 34
141unit.2.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
142unit.2.0.port.-1.b.3.name=DataPort
143unit.2.0.port.-1.b.3.orderindex=-1
144unit.2.0.port.-1.b.3.radix=Hex
145unit.2.0.port.-1.b.3.signedOffset=0.0
146unit.2.0.port.-1.b.3.signedPrecision=0
147unit.2.0.port.-1.b.3.signedScaleFactor=1.0
148unit.2.0.port.-1.b.3.tokencount=0
149unit.2.0.port.-1.b.3.unsignedOffset=0.0
150unit.2.0.port.-1.b.3.unsignedPrecision=0
151unit.2.0.port.-1.b.3.unsignedScaleFactor=1.0
152unit.2.0.port.-1.b.3.visible=1
153unit.2.0.port.-1.b.4.alias=S_FIFO
154unit.2.0.port.-1.b.4.channellist=19 20 21 22 23 24 25 26
155unit.2.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
156unit.2.0.port.-1.b.4.name=DataPort
157unit.2.0.port.-1.b.4.orderindex=-1
158unit.2.0.port.-1.b.4.radix=Hex
159unit.2.0.port.-1.b.4.signedOffset=0.0
160unit.2.0.port.-1.b.4.signedPrecision=0
161unit.2.0.port.-1.b.4.signedScaleFactor=1.0
162unit.2.0.port.-1.b.4.tokencount=0
163unit.2.0.port.-1.b.4.unsignedOffset=0.0
164unit.2.0.port.-1.b.4.unsignedPrecision=0
165unit.2.0.port.-1.b.4.unsignedScaleFactor=1.0
166unit.2.0.port.-1.b.4.visible=1
167unit.2.0.port.-1.buscount=5
168unit.2.0.port.-1.channelcount=96
e35799b4 169unit.2.0.port.-1.s.0.alias=INTAn
1afff8d4 170unit.2.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
171unit.2.0.port.-1.s.0.name=DataPort[0]
172unit.2.0.port.-1.s.0.orderindex=-1
173unit.2.0.port.-1.s.0.visible=1
e35799b4 174unit.2.0.port.-1.s.1.alias=R_Empty
1afff8d4 175unit.2.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
176unit.2.0.port.-1.s.1.name=DataPort[1]
177unit.2.0.port.-1.s.1.orderindex=-1
178unit.2.0.port.-1.s.1.visible=1
e35799b4 179unit.2.0.port.-1.s.10.alias=S_Full
1afff8d4 180unit.2.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
181unit.2.0.port.-1.s.10.name=DataPort[10]
182unit.2.0.port.-1.s.10.orderindex=-1
183unit.2.0.port.-1.s.10.visible=1
e35799b4 184unit.2.0.port.-1.s.11.alias=S_READ
1afff8d4 185unit.2.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
186unit.2.0.port.-1.s.11.name=DataPort[11]
187unit.2.0.port.-1.s.11.orderindex=-1
188unit.2.0.port.-1.s.11.visible=1
e35799b4 189unit.2.0.port.-1.s.12.alias=S_RESET
1afff8d4 190unit.2.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
191unit.2.0.port.-1.s.12.name=DataPort[12]
192unit.2.0.port.-1.s.12.orderindex=-1
193unit.2.0.port.-1.s.12.visible=1
e35799b4 194unit.2.0.port.-1.s.13.alias=S_RETRANS
1afff8d4 195unit.2.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
196unit.2.0.port.-1.s.13.name=DataPort[13]
197unit.2.0.port.-1.s.13.orderindex=-1
198unit.2.0.port.-1.s.13.visible=1
e35799b4 199unit.2.0.port.-1.s.14.alias=S_WRITE
1afff8d4 200unit.2.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
201unit.2.0.port.-1.s.14.name=DataPort[14]
202unit.2.0.port.-1.s.14.orderindex=-1
203unit.2.0.port.-1.s.14.visible=1
e35799b4 204unit.2.0.port.-1.s.15.alias=SER_IN
1afff8d4 205unit.2.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
206unit.2.0.port.-1.s.15.name=DataPort[15]
207unit.2.0.port.-1.s.15.orderindex=-1
208unit.2.0.port.-1.s.15.visible=1
e35799b4 209unit.2.0.port.-1.s.16.alias=SPC_RDY_IN
1afff8d4 210unit.2.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
211unit.2.0.port.-1.s.16.name=DataPort[16]
212unit.2.0.port.-1.s.16.orderindex=-1
213unit.2.0.port.-1.s.16.visible=1
e35799b4 214unit.2.0.port.-1.s.17.alias=SER_OUT
1afff8d4 215unit.2.0.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
216unit.2.0.port.-1.s.17.name=DataPort[17]
217unit.2.0.port.-1.s.17.orderindex=-1
218unit.2.0.port.-1.s.17.visible=1
e35799b4 219unit.2.0.port.-1.s.18.alias=SPC_RDY_OUT
1afff8d4 220unit.2.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
221unit.2.0.port.-1.s.18.name=DataPort[18]
222unit.2.0.port.-1.s.18.orderindex=-1
223unit.2.0.port.-1.s.18.visible=1
68c98669 224unit.2.0.port.-1.s.19.alias=S_FIFO[0]
1afff8d4 225unit.2.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
226unit.2.0.port.-1.s.19.name=DataPort[19]
227unit.2.0.port.-1.s.19.orderindex=-1
228unit.2.0.port.-1.s.19.visible=1
e35799b4 229unit.2.0.port.-1.s.2.alias=R_Half
1afff8d4 230unit.2.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
231unit.2.0.port.-1.s.2.name=DataPort[2]
232unit.2.0.port.-1.s.2.orderindex=-1
233unit.2.0.port.-1.s.2.visible=1
68c98669 234unit.2.0.port.-1.s.20.alias=S_FIFO[1]
1afff8d4 235unit.2.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
236unit.2.0.port.-1.s.20.name=DataPort[20]
237unit.2.0.port.-1.s.20.orderindex=-1
238unit.2.0.port.-1.s.20.visible=1
68c98669 239unit.2.0.port.-1.s.21.alias=S_FIFO[2]
1afff8d4 240unit.2.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
241unit.2.0.port.-1.s.21.name=DataPort[21]
242unit.2.0.port.-1.s.21.orderindex=-1
243unit.2.0.port.-1.s.21.visible=1
68c98669 244unit.2.0.port.-1.s.22.alias=S_FIFO[3]
1afff8d4 245unit.2.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
246unit.2.0.port.-1.s.22.name=DataPort[22]
247unit.2.0.port.-1.s.22.orderindex=-1
248unit.2.0.port.-1.s.22.visible=1
68c98669 249unit.2.0.port.-1.s.23.alias=S_FIFO[4]
1afff8d4 250unit.2.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
251unit.2.0.port.-1.s.23.name=DataPort[23]
252unit.2.0.port.-1.s.23.orderindex=-1
253unit.2.0.port.-1.s.23.visible=1
68c98669 254unit.2.0.port.-1.s.24.alias=S_FIFO[5]
1afff8d4 255unit.2.0.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
256unit.2.0.port.-1.s.24.name=DataPort[24]
257unit.2.0.port.-1.s.24.orderindex=-1
258unit.2.0.port.-1.s.24.visible=1
68c98669 259unit.2.0.port.-1.s.25.alias=S_FIFO[6]
1afff8d4 260unit.2.0.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
261unit.2.0.port.-1.s.25.name=DataPort[25]
262unit.2.0.port.-1.s.25.orderindex=-1
263unit.2.0.port.-1.s.25.visible=1
68c98669 264unit.2.0.port.-1.s.26.alias=S_FIFO[7]
1afff8d4 265unit.2.0.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
266unit.2.0.port.-1.s.26.name=DataPort[26]
267unit.2.0.port.-1.s.26.orderindex=-1
268unit.2.0.port.-1.s.26.visible=1
68c98669 269unit.2.0.port.-1.s.27.alias=R_FIFO[0]
1afff8d4 270unit.2.0.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
271unit.2.0.port.-1.s.27.name=DataPort[27]
272unit.2.0.port.-1.s.27.orderindex=-1
273unit.2.0.port.-1.s.27.visible=1
68c98669 274unit.2.0.port.-1.s.28.alias=R_FIFO[1]
1afff8d4 275unit.2.0.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
276unit.2.0.port.-1.s.28.name=DataPort[28]
277unit.2.0.port.-1.s.28.orderindex=-1
278unit.2.0.port.-1.s.28.visible=1
68c98669 279unit.2.0.port.-1.s.29.alias=R_FIFO[2]
1afff8d4 280unit.2.0.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
281unit.2.0.port.-1.s.29.name=DataPort[29]
282unit.2.0.port.-1.s.29.orderindex=-1
283unit.2.0.port.-1.s.29.visible=1
e35799b4 284unit.2.0.port.-1.s.3.alias=R_Full
1afff8d4 285unit.2.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
286unit.2.0.port.-1.s.3.name=DataPort[3]
287unit.2.0.port.-1.s.3.orderindex=-1
288unit.2.0.port.-1.s.3.visible=1
68c98669 289unit.2.0.port.-1.s.30.alias=R_FIFO[3]
1afff8d4 290unit.2.0.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
291unit.2.0.port.-1.s.30.name=DataPort[30]
292unit.2.0.port.-1.s.30.orderindex=-1
293unit.2.0.port.-1.s.30.visible=1
68c98669 294unit.2.0.port.-1.s.31.alias=R_FIFO[4]
1afff8d4 295unit.2.0.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
296unit.2.0.port.-1.s.31.name=DataPort[31]
297unit.2.0.port.-1.s.31.orderindex=-1
298unit.2.0.port.-1.s.31.visible=1
68c98669 299unit.2.0.port.-1.s.32.alias=R_FIFO[5]
1afff8d4 300unit.2.0.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
301unit.2.0.port.-1.s.32.name=DataPort[32]
302unit.2.0.port.-1.s.32.orderindex=-1
303unit.2.0.port.-1.s.32.visible=1
68c98669 304unit.2.0.port.-1.s.33.alias=R_FIFO[6]
1afff8d4 305unit.2.0.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
306unit.2.0.port.-1.s.33.name=DataPort[33]
307unit.2.0.port.-1.s.33.orderindex=-1
308unit.2.0.port.-1.s.33.visible=1
68c98669 309unit.2.0.port.-1.s.34.alias=R_FIFO[7]
1afff8d4 310unit.2.0.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
311unit.2.0.port.-1.s.34.name=DataPort[34]
312unit.2.0.port.-1.s.34.orderindex=-1
313unit.2.0.port.-1.s.34.visible=1
68c98669 314unit.2.0.port.-1.s.35.alias=PCI[0]
1afff8d4 315unit.2.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
316unit.2.0.port.-1.s.35.name=DataPort[35]
317unit.2.0.port.-1.s.35.orderindex=-1
318unit.2.0.port.-1.s.35.visible=1
68c98669 319unit.2.0.port.-1.s.36.alias=PCI[1]
114b8f21 320unit.2.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
321unit.2.0.port.-1.s.36.name=DataPort[36]
322unit.2.0.port.-1.s.36.orderindex=-1
323unit.2.0.port.-1.s.36.visible=1
68c98669 324unit.2.0.port.-1.s.37.alias=PCI[2]
114b8f21 325unit.2.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
326unit.2.0.port.-1.s.37.name=DataPort[37]
327unit.2.0.port.-1.s.37.orderindex=-1
328unit.2.0.port.-1.s.37.visible=1
68c98669 329unit.2.0.port.-1.s.38.alias=PCI[3]
114b8f21 330unit.2.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
331unit.2.0.port.-1.s.38.name=DataPort[38]
332unit.2.0.port.-1.s.38.orderindex=-1
333unit.2.0.port.-1.s.38.visible=1
68c98669 334unit.2.0.port.-1.s.39.alias=PCI[4]
114b8f21 335unit.2.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
336unit.2.0.port.-1.s.39.name=DataPort[39]
337unit.2.0.port.-1.s.39.orderindex=-1
338unit.2.0.port.-1.s.39.visible=1
e35799b4 339unit.2.0.port.-1.s.4.alias=R_READ
1afff8d4 340unit.2.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
341unit.2.0.port.-1.s.4.name=DataPort[4]
342unit.2.0.port.-1.s.4.orderindex=-1
343unit.2.0.port.-1.s.4.visible=1
68c98669 344unit.2.0.port.-1.s.40.alias=PCI[5]
114b8f21 345unit.2.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
346unit.2.0.port.-1.s.40.name=DataPort[40]
347unit.2.0.port.-1.s.40.orderindex=-1
348unit.2.0.port.-1.s.40.visible=1
68c98669 349unit.2.0.port.-1.s.41.alias=PCI[6]
114b8f21 350unit.2.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
351unit.2.0.port.-1.s.41.name=DataPort[41]
352unit.2.0.port.-1.s.41.orderindex=-1
353unit.2.0.port.-1.s.41.visible=1
68c98669 354unit.2.0.port.-1.s.42.alias=PCI[7]
114b8f21 355unit.2.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
356unit.2.0.port.-1.s.42.name=DataPort[42]
357unit.2.0.port.-1.s.42.orderindex=-1
358unit.2.0.port.-1.s.42.visible=1
68c98669 359unit.2.0.port.-1.s.43.alias=PCI[8]
114b8f21 360unit.2.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
361unit.2.0.port.-1.s.43.name=DataPort[43]
362unit.2.0.port.-1.s.43.orderindex=-1
363unit.2.0.port.-1.s.43.visible=1
68c98669 364unit.2.0.port.-1.s.44.alias=PCI[9]
114b8f21 365unit.2.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
366unit.2.0.port.-1.s.44.name=DataPort[44]
367unit.2.0.port.-1.s.44.orderindex=-1
368unit.2.0.port.-1.s.44.visible=1
68c98669 369unit.2.0.port.-1.s.45.alias=PCI[10]
114b8f21 370unit.2.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
371unit.2.0.port.-1.s.45.name=DataPort[45]
372unit.2.0.port.-1.s.45.orderindex=-1
373unit.2.0.port.-1.s.45.visible=1
68c98669 374unit.2.0.port.-1.s.46.alias=PCI[11]
114b8f21 375unit.2.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
376unit.2.0.port.-1.s.46.name=DataPort[46]
377unit.2.0.port.-1.s.46.orderindex=-1
378unit.2.0.port.-1.s.46.visible=1
68c98669 379unit.2.0.port.-1.s.47.alias=PCI[12]
114b8f21 380unit.2.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
381unit.2.0.port.-1.s.47.name=DataPort[47]
382unit.2.0.port.-1.s.47.orderindex=-1
383unit.2.0.port.-1.s.47.visible=1
68c98669 384unit.2.0.port.-1.s.48.alias=PCI[13]
114b8f21 385unit.2.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
386unit.2.0.port.-1.s.48.name=DataPort[48]
387unit.2.0.port.-1.s.48.orderindex=-1
388unit.2.0.port.-1.s.48.visible=1
68c98669 389unit.2.0.port.-1.s.49.alias=PCI[14]
114b8f21 390unit.2.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
391unit.2.0.port.-1.s.49.name=DataPort[49]
392unit.2.0.port.-1.s.49.orderindex=-1
393unit.2.0.port.-1.s.49.visible=1
e35799b4 394unit.2.0.port.-1.s.5.alias=R_RESET
1afff8d4 395unit.2.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
396unit.2.0.port.-1.s.5.name=DataPort[5]
397unit.2.0.port.-1.s.5.orderindex=-1
398unit.2.0.port.-1.s.5.visible=1
68c98669 399unit.2.0.port.-1.s.50.alias=PCI[15]
114b8f21 400unit.2.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
401unit.2.0.port.-1.s.50.name=DataPort[50]
402unit.2.0.port.-1.s.50.orderindex=-1
403unit.2.0.port.-1.s.50.visible=1
68c98669 404unit.2.0.port.-1.s.51.alias=PCI[16]
114b8f21 405unit.2.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
406unit.2.0.port.-1.s.51.name=DataPort[51]
407unit.2.0.port.-1.s.51.orderindex=-1
408unit.2.0.port.-1.s.51.visible=1
68c98669 409unit.2.0.port.-1.s.52.alias=PCI[17]
114b8f21 410unit.2.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
411unit.2.0.port.-1.s.52.name=DataPort[52]
412unit.2.0.port.-1.s.52.orderindex=-1
413unit.2.0.port.-1.s.52.visible=1
68c98669 414unit.2.0.port.-1.s.53.alias=PCI[18]
114b8f21 415unit.2.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
416unit.2.0.port.-1.s.53.name=DataPort[53]
417unit.2.0.port.-1.s.53.orderindex=-1
418unit.2.0.port.-1.s.53.visible=1
68c98669 419unit.2.0.port.-1.s.54.alias=PCI[19]
114b8f21 420unit.2.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
421unit.2.0.port.-1.s.54.name=DataPort[54]
422unit.2.0.port.-1.s.54.orderindex=-1
423unit.2.0.port.-1.s.54.visible=1
68c98669 424unit.2.0.port.-1.s.55.alias=PCI[20]
114b8f21 425unit.2.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
426unit.2.0.port.-1.s.55.name=DataPort[55]
427unit.2.0.port.-1.s.55.orderindex=-1
428unit.2.0.port.-1.s.55.visible=1
68c98669 429unit.2.0.port.-1.s.56.alias=PCI[21]
114b8f21 430unit.2.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
431unit.2.0.port.-1.s.56.name=DataPort[56]
432unit.2.0.port.-1.s.56.orderindex=-1
433unit.2.0.port.-1.s.56.visible=1
68c98669 434unit.2.0.port.-1.s.57.alias=PCI[22]
114b8f21 435unit.2.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
436unit.2.0.port.-1.s.57.name=DataPort[57]
437unit.2.0.port.-1.s.57.orderindex=-1
438unit.2.0.port.-1.s.57.visible=1
68c98669 439unit.2.0.port.-1.s.58.alias=PCI[23]
114b8f21 440unit.2.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
441unit.2.0.port.-1.s.58.name=DataPort[58]
442unit.2.0.port.-1.s.58.orderindex=-1
443unit.2.0.port.-1.s.58.visible=1
68c98669 444unit.2.0.port.-1.s.59.alias=PCI[24]
114b8f21 445unit.2.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
446unit.2.0.port.-1.s.59.name=DataPort[59]
447unit.2.0.port.-1.s.59.orderindex=-1
448unit.2.0.port.-1.s.59.visible=1
e35799b4 449unit.2.0.port.-1.s.6.alias=R_RETRANS
1afff8d4 450unit.2.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
451unit.2.0.port.-1.s.6.name=DataPort[6]
452unit.2.0.port.-1.s.6.orderindex=-1
453unit.2.0.port.-1.s.6.visible=1
68c98669 454unit.2.0.port.-1.s.60.alias=PCI[25]
114b8f21 455unit.2.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
456unit.2.0.port.-1.s.60.name=DataPort[60]
457unit.2.0.port.-1.s.60.orderindex=-1
458unit.2.0.port.-1.s.60.visible=1
68c98669 459unit.2.0.port.-1.s.61.alias=PCI[26]
114b8f21 460unit.2.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
461unit.2.0.port.-1.s.61.name=DataPort[61]
462unit.2.0.port.-1.s.61.orderindex=-1
463unit.2.0.port.-1.s.61.visible=1
68c98669 464unit.2.0.port.-1.s.62.alias=PCI[27]
114b8f21 465unit.2.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
466unit.2.0.port.-1.s.62.name=DataPort[62]
467unit.2.0.port.-1.s.62.orderindex=-1
468unit.2.0.port.-1.s.62.visible=1
68c98669 469unit.2.0.port.-1.s.63.alias=PCI[28]
114b8f21 470unit.2.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
471unit.2.0.port.-1.s.63.name=DataPort[63]
472unit.2.0.port.-1.s.63.orderindex=-1
473unit.2.0.port.-1.s.63.visible=1
68c98669 474unit.2.0.port.-1.s.64.alias=PCI[29]
114b8f21 475unit.2.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
476unit.2.0.port.-1.s.64.name=DataPort[64]
477unit.2.0.port.-1.s.64.orderindex=-1
478unit.2.0.port.-1.s.64.visible=1
68c98669 479unit.2.0.port.-1.s.65.alias=PCI[30]
114b8f21 480unit.2.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
481unit.2.0.port.-1.s.65.name=DataPort[65]
482unit.2.0.port.-1.s.65.orderindex=-1
483unit.2.0.port.-1.s.65.visible=1
68c98669 484unit.2.0.port.-1.s.66.alias=PCI[31]
114b8f21 485unit.2.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
486unit.2.0.port.-1.s.66.name=DataPort[66]
487unit.2.0.port.-1.s.66.orderindex=-1
488unit.2.0.port.-1.s.66.visible=1
68c98669 489unit.2.0.port.-1.s.67.alias=CBE[0]
114b8f21 490unit.2.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
491unit.2.0.port.-1.s.67.name=DataPort[67]
492unit.2.0.port.-1.s.67.orderindex=-1
493unit.2.0.port.-1.s.67.visible=1
68c98669 494unit.2.0.port.-1.s.68.alias=CBE[1]
114b8f21 495unit.2.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
496unit.2.0.port.-1.s.68.name=DataPort[68]
497unit.2.0.port.-1.s.68.orderindex=-1
498unit.2.0.port.-1.s.68.visible=1
68c98669 499unit.2.0.port.-1.s.69.alias=CBE[2]
114b8f21 500unit.2.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
501unit.2.0.port.-1.s.69.name=DataPort[69]
502unit.2.0.port.-1.s.69.orderindex=-1
503unit.2.0.port.-1.s.69.visible=1
e35799b4 504unit.2.0.port.-1.s.7.alias=R_WRITE
1afff8d4 505unit.2.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
506unit.2.0.port.-1.s.7.name=DataPort[7]
507unit.2.0.port.-1.s.7.orderindex=-1
508unit.2.0.port.-1.s.7.visible=1
68c98669 509unit.2.0.port.-1.s.70.alias=CBE[3]
114b8f21 510unit.2.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
511unit.2.0.port.-1.s.70.name=DataPort[70]
512unit.2.0.port.-1.s.70.orderindex=-1
513unit.2.0.port.-1.s.70.visible=1
514unit.2.0.port.-1.s.71.alias=FRAMEn
515unit.2.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
516unit.2.0.port.-1.s.71.name=DataPort[71]
517unit.2.0.port.-1.s.71.orderindex=-1
518unit.2.0.port.-1.s.71.visible=1
7b87d14d 519unit.2.0.port.-1.s.72.alias=IDSEL
114b8f21 520unit.2.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
521unit.2.0.port.-1.s.72.name=DataPort[72]
522unit.2.0.port.-1.s.72.orderindex=-1
523unit.2.0.port.-1.s.72.visible=1
7b87d14d 524unit.2.0.port.-1.s.73.alias=TRDYn
114b8f21 525unit.2.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
526unit.2.0.port.-1.s.73.name=DataPort[73]
527unit.2.0.port.-1.s.73.orderindex=-1
528unit.2.0.port.-1.s.73.visible=1
7b87d14d 529unit.2.0.port.-1.s.74.alias=IRDYn
114b8f21 530unit.2.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
531unit.2.0.port.-1.s.74.name=DataPort[74]
532unit.2.0.port.-1.s.74.orderindex=-1
533unit.2.0.port.-1.s.74.visible=1
7b87d14d 534unit.2.0.port.-1.s.75.alias=STOPn
114b8f21 535unit.2.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
536unit.2.0.port.-1.s.75.name=DataPort[75]
537unit.2.0.port.-1.s.75.orderindex=-1
538unit.2.0.port.-1.s.75.visible=1
7b87d14d 539unit.2.0.port.-1.s.76.alias=SERRn
114b8f21 540unit.2.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
541unit.2.0.port.-1.s.76.name=DataPort[76]
542unit.2.0.port.-1.s.76.orderindex=-1
543unit.2.0.port.-1.s.76.visible=1
7b87d14d 544unit.2.0.port.-1.s.77.alias=PERRn
114b8f21 545unit.2.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
546unit.2.0.port.-1.s.77.name=DataPort[77]
547unit.2.0.port.-1.s.77.orderindex=-1
548unit.2.0.port.-1.s.77.visible=1
7b87d14d 549unit.2.0.port.-1.s.78.alias=REQn
114b8f21 550unit.2.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
551unit.2.0.port.-1.s.78.name=DataPort[78]
552unit.2.0.port.-1.s.78.orderindex=-1
553unit.2.0.port.-1.s.78.visible=1
7b87d14d 554unit.2.0.port.-1.s.79.alias=GNTn
114b8f21 555unit.2.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
556unit.2.0.port.-1.s.79.name=DataPort[79]
557unit.2.0.port.-1.s.79.orderindex=-1
558unit.2.0.port.-1.s.79.visible=1
e35799b4 559unit.2.0.port.-1.s.8.alias=S_Empty
1afff8d4 560unit.2.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
561unit.2.0.port.-1.s.8.name=DataPort[8]
562unit.2.0.port.-1.s.8.orderindex=-1
563unit.2.0.port.-1.s.8.visible=1
114b8f21 564unit.2.0.port.-1.s.80.alias=
565unit.2.0.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
566unit.2.0.port.-1.s.80.name=DataPort[80]
567unit.2.0.port.-1.s.80.orderindex=-1
568unit.2.0.port.-1.s.80.visible=1
569unit.2.0.port.-1.s.81.alias=
570unit.2.0.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
571unit.2.0.port.-1.s.81.name=DataPort[81]
572unit.2.0.port.-1.s.81.orderindex=-1
573unit.2.0.port.-1.s.81.visible=1
574unit.2.0.port.-1.s.82.alias=
575unit.2.0.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
576unit.2.0.port.-1.s.82.name=DataPort[82]
577unit.2.0.port.-1.s.82.orderindex=-1
578unit.2.0.port.-1.s.82.visible=1
579unit.2.0.port.-1.s.83.alias=
580unit.2.0.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
581unit.2.0.port.-1.s.83.name=DataPort[83]
582unit.2.0.port.-1.s.83.orderindex=-1
583unit.2.0.port.-1.s.83.visible=1
584unit.2.0.port.-1.s.84.alias=
585unit.2.0.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
586unit.2.0.port.-1.s.84.name=DataPort[84]
587unit.2.0.port.-1.s.84.orderindex=-1
588unit.2.0.port.-1.s.84.visible=1
589unit.2.0.port.-1.s.85.alias=
590unit.2.0.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
591unit.2.0.port.-1.s.85.name=DataPort[85]
592unit.2.0.port.-1.s.85.orderindex=-1
593unit.2.0.port.-1.s.85.visible=1
594unit.2.0.port.-1.s.86.alias=
595unit.2.0.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
596unit.2.0.port.-1.s.86.name=DataPort[86]
597unit.2.0.port.-1.s.86.orderindex=-1
598unit.2.0.port.-1.s.86.visible=1
599unit.2.0.port.-1.s.87.alias=
600unit.2.0.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
601unit.2.0.port.-1.s.87.name=DataPort[87]
602unit.2.0.port.-1.s.87.orderindex=-1
603unit.2.0.port.-1.s.87.visible=1
604unit.2.0.port.-1.s.88.alias=
605unit.2.0.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
606unit.2.0.port.-1.s.88.name=DataPort[88]
607unit.2.0.port.-1.s.88.orderindex=-1
608unit.2.0.port.-1.s.88.visible=1
609unit.2.0.port.-1.s.89.alias=
610unit.2.0.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
611unit.2.0.port.-1.s.89.name=DataPort[89]
612unit.2.0.port.-1.s.89.orderindex=-1
613unit.2.0.port.-1.s.89.visible=1
e35799b4 614unit.2.0.port.-1.s.9.alias=S_Half
1afff8d4 615unit.2.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
616unit.2.0.port.-1.s.9.name=DataPort[9]
617unit.2.0.port.-1.s.9.orderindex=-1
618unit.2.0.port.-1.s.9.visible=1
114b8f21 619unit.2.0.port.-1.s.90.alias=
620unit.2.0.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
621unit.2.0.port.-1.s.90.name=DataPort[90]
622unit.2.0.port.-1.s.90.orderindex=-1
623unit.2.0.port.-1.s.90.visible=1
624unit.2.0.port.-1.s.91.alias=
625unit.2.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
626unit.2.0.port.-1.s.91.name=DataPort[91]
627unit.2.0.port.-1.s.91.orderindex=-1
628unit.2.0.port.-1.s.91.visible=1
629unit.2.0.port.-1.s.92.alias=
630unit.2.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
631unit.2.0.port.-1.s.92.name=DataPort[92]
632unit.2.0.port.-1.s.92.orderindex=-1
633unit.2.0.port.-1.s.92.visible=1
634unit.2.0.port.-1.s.93.alias=
635unit.2.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
636unit.2.0.port.-1.s.93.name=DataPort[93]
637unit.2.0.port.-1.s.93.orderindex=-1
638unit.2.0.port.-1.s.93.visible=1
639unit.2.0.port.-1.s.94.alias=
640unit.2.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
641unit.2.0.port.-1.s.94.name=DataPort[94]
642unit.2.0.port.-1.s.94.orderindex=-1
643unit.2.0.port.-1.s.94.visible=1
644unit.2.0.port.-1.s.95.alias=
645unit.2.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
646unit.2.0.port.-1.s.95.name=DataPort[95]
647unit.2.0.port.-1.s.95.orderindex=-1
648unit.2.0.port.-1.s.95.visible=1
1afff8d4 649unit.2.0.port.0.b.0.alias=
114b8f21 650unit.2.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
1afff8d4 651unit.2.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
652unit.2.0.port.0.b.0.name=TriggerPort0
653unit.2.0.port.0.b.0.orderindex=-1
654unit.2.0.port.0.b.0.radix=Hex
655unit.2.0.port.0.b.0.signedOffset=0.0
656unit.2.0.port.0.b.0.signedPrecision=0
657unit.2.0.port.0.b.0.signedScaleFactor=1.0
658unit.2.0.port.0.b.0.unsignedOffset=0.0
659unit.2.0.port.0.b.0.unsignedPrecision=0
660unit.2.0.port.0.b.0.unsignedScaleFactor=1.0
661unit.2.0.port.0.b.0.visible=1
662unit.2.0.port.0.buscount=1
114b8f21 663unit.2.0.port.0.channelcount=32
e35799b4 664unit.2.0.port.0.s.0.alias=PCI_INTA
1afff8d4 665unit.2.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
666unit.2.0.port.0.s.0.name=TriggerPort0[0]
667unit.2.0.port.0.s.0.orderindex=-1
668unit.2.0.port.0.s.0.visible=1
e35799b4 669unit.2.0.port.0.s.1.alias=R_READ
1afff8d4 670unit.2.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
671unit.2.0.port.0.s.1.name=TriggerPort0[1]
672unit.2.0.port.0.s.1.orderindex=-1
673unit.2.0.port.0.s.1.visible=1
114b8f21 674unit.2.0.port.0.s.10.alias=
675unit.2.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
676unit.2.0.port.0.s.10.name=TriggerPort0[10]
677unit.2.0.port.0.s.10.orderindex=-1
678unit.2.0.port.0.s.10.visible=1
679unit.2.0.port.0.s.11.alias=
680unit.2.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
681unit.2.0.port.0.s.11.name=TriggerPort0[11]
682unit.2.0.port.0.s.11.orderindex=-1
683unit.2.0.port.0.s.11.visible=1
684unit.2.0.port.0.s.12.alias=
685unit.2.0.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
686unit.2.0.port.0.s.12.name=TriggerPort0[12]
687unit.2.0.port.0.s.12.orderindex=-1
688unit.2.0.port.0.s.12.visible=1
689unit.2.0.port.0.s.13.alias=
690unit.2.0.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
691unit.2.0.port.0.s.13.name=TriggerPort0[13]
692unit.2.0.port.0.s.13.orderindex=-1
693unit.2.0.port.0.s.13.visible=1
694unit.2.0.port.0.s.14.alias=
695unit.2.0.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
696unit.2.0.port.0.s.14.name=TriggerPort0[14]
697unit.2.0.port.0.s.14.orderindex=-1
698unit.2.0.port.0.s.14.visible=1
699unit.2.0.port.0.s.15.alias=
700unit.2.0.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
701unit.2.0.port.0.s.15.name=TriggerPort0[15]
702unit.2.0.port.0.s.15.orderindex=-1
703unit.2.0.port.0.s.15.visible=1
704unit.2.0.port.0.s.16.alias=
705unit.2.0.port.0.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
706unit.2.0.port.0.s.16.name=TriggerPort0[16]
707unit.2.0.port.0.s.16.orderindex=-1
708unit.2.0.port.0.s.16.visible=1
709unit.2.0.port.0.s.17.alias=
710unit.2.0.port.0.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
711unit.2.0.port.0.s.17.name=TriggerPort0[17]
712unit.2.0.port.0.s.17.orderindex=-1
713unit.2.0.port.0.s.17.visible=1
714unit.2.0.port.0.s.18.alias=
715unit.2.0.port.0.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
716unit.2.0.port.0.s.18.name=TriggerPort0[18]
717unit.2.0.port.0.s.18.orderindex=-1
718unit.2.0.port.0.s.18.visible=1
719unit.2.0.port.0.s.19.alias=
720unit.2.0.port.0.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
721unit.2.0.port.0.s.19.name=TriggerPort0[19]
722unit.2.0.port.0.s.19.orderindex=-1
723unit.2.0.port.0.s.19.visible=1
e35799b4 724unit.2.0.port.0.s.2.alias=R_WRITE
1afff8d4 725unit.2.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
726unit.2.0.port.0.s.2.name=TriggerPort0[2]
727unit.2.0.port.0.s.2.orderindex=-1
728unit.2.0.port.0.s.2.visible=1
114b8f21 729unit.2.0.port.0.s.20.alias=
730unit.2.0.port.0.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
731unit.2.0.port.0.s.20.name=TriggerPort0[20]
732unit.2.0.port.0.s.20.orderindex=-1
733unit.2.0.port.0.s.20.visible=1
734unit.2.0.port.0.s.21.alias=
735unit.2.0.port.0.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
736unit.2.0.port.0.s.21.name=TriggerPort0[21]
737unit.2.0.port.0.s.21.orderindex=-1
738unit.2.0.port.0.s.21.visible=1
739unit.2.0.port.0.s.22.alias=
740unit.2.0.port.0.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
741unit.2.0.port.0.s.22.name=TriggerPort0[22]
742unit.2.0.port.0.s.22.orderindex=-1
743unit.2.0.port.0.s.22.visible=1
744unit.2.0.port.0.s.23.alias=
745unit.2.0.port.0.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
746unit.2.0.port.0.s.23.name=TriggerPort0[23]
747unit.2.0.port.0.s.23.orderindex=-1
748unit.2.0.port.0.s.23.visible=1
749unit.2.0.port.0.s.24.alias=
750unit.2.0.port.0.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
751unit.2.0.port.0.s.24.name=TriggerPort0[24]
752unit.2.0.port.0.s.24.orderindex=-1
753unit.2.0.port.0.s.24.visible=1
754unit.2.0.port.0.s.25.alias=
755unit.2.0.port.0.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
756unit.2.0.port.0.s.25.name=TriggerPort0[25]
757unit.2.0.port.0.s.25.orderindex=-1
758unit.2.0.port.0.s.25.visible=1
759unit.2.0.port.0.s.26.alias=
760unit.2.0.port.0.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
761unit.2.0.port.0.s.26.name=TriggerPort0[26]
762unit.2.0.port.0.s.26.orderindex=-1
763unit.2.0.port.0.s.26.visible=1
764unit.2.0.port.0.s.27.alias=FRAMEn
765unit.2.0.port.0.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
766unit.2.0.port.0.s.27.name=TriggerPort0[27]
767unit.2.0.port.0.s.27.orderindex=-1
768unit.2.0.port.0.s.27.visible=1
769unit.2.0.port.0.s.28.alias=CBEn<0>
770unit.2.0.port.0.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
771unit.2.0.port.0.s.28.name=TriggerPort0[28]
772unit.2.0.port.0.s.28.orderindex=-1
773unit.2.0.port.0.s.28.visible=1
774unit.2.0.port.0.s.29.alias=CBEn<1>
775unit.2.0.port.0.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
776unit.2.0.port.0.s.29.name=TriggerPort0[29]
777unit.2.0.port.0.s.29.orderindex=-1
778unit.2.0.port.0.s.29.visible=1
e35799b4 779unit.2.0.port.0.s.3.alias=S_READ
1afff8d4 780unit.2.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
781unit.2.0.port.0.s.3.name=TriggerPort0[3]
782unit.2.0.port.0.s.3.orderindex=-1
783unit.2.0.port.0.s.3.visible=1
114b8f21 784unit.2.0.port.0.s.30.alias=CBEn<2>
785unit.2.0.port.0.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
786unit.2.0.port.0.s.30.name=TriggerPort0[30]
787unit.2.0.port.0.s.30.orderindex=-1
788unit.2.0.port.0.s.30.visible=1
789unit.2.0.port.0.s.31.alias=CBEn<3>
790unit.2.0.port.0.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
791unit.2.0.port.0.s.31.name=TriggerPort0[31]
792unit.2.0.port.0.s.31.orderindex=-1
793unit.2.0.port.0.s.31.visible=1
e35799b4 794unit.2.0.port.0.s.4.alias=S_WRITE
1afff8d4 795unit.2.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
796unit.2.0.port.0.s.4.name=TriggerPort0[4]
797unit.2.0.port.0.s.4.orderindex=-1
798unit.2.0.port.0.s.4.visible=1
3ddb8de3 799unit.2.0.port.0.s.5.alias=PCI_RSTn
1afff8d4 800unit.2.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
801unit.2.0.port.0.s.5.name=TriggerPort0[5]
802unit.2.0.port.0.s.5.orderindex=-1
803unit.2.0.port.0.s.5.visible=1
1dae700b 804unit.2.0.port.0.s.6.alias=PCI_IDSEL
1afff8d4 805unit.2.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
806unit.2.0.port.0.s.6.name=TriggerPort0[6]
807unit.2.0.port.0.s.6.orderindex=-1
808unit.2.0.port.0.s.6.visible=1
809unit.2.0.port.0.s.7.alias=
810unit.2.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
811unit.2.0.port.0.s.7.name=TriggerPort0[7]
812unit.2.0.port.0.s.7.orderindex=-1
813unit.2.0.port.0.s.7.visible=1
114b8f21 814unit.2.0.port.0.s.8.alias=
815unit.2.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
816unit.2.0.port.0.s.8.name=TriggerPort0[8]
817unit.2.0.port.0.s.8.orderindex=-1
818unit.2.0.port.0.s.8.visible=1
819unit.2.0.port.0.s.9.alias=
820unit.2.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
821unit.2.0.port.0.s.9.name=TriggerPort0[9]
822unit.2.0.port.0.s.9.orderindex=-1
823unit.2.0.port.0.s.9.visible=1
1afff8d4 824unit.2.0.portcount=1
825unit.2.0.samplesPerTrigger=1
826unit.2.0.triggerCapture=1
827unit.2.0.triggerNSamplesTS=0
114b8f21 828unit.2.0.triggerPosition=32
1afff8d4 829unit.2.0.triggerWindowCount=1
114b8f21 830unit.2.0.triggerWindowDepth=4096
1afff8d4 831unit.2.0.triggerWindowTS=0
832unit.2.0.username=MyILA0
7b87d14d 833unit.2.0.waveform.count=33
114b8f21 834unit.2.0.waveform.posn.0.channel=2147483646
835unit.2.0.waveform.posn.0.name=PCI
1afff8d4 836unit.2.0.waveform.posn.0.radix=1
114b8f21 837unit.2.0.waveform.posn.0.type=bus
e35799b4 838unit.2.0.waveform.posn.1.channel=2147483646
114b8f21 839unit.2.0.waveform.posn.1.name=CBE_ADDR
e35799b4 840unit.2.0.waveform.posn.1.radix=1
841unit.2.0.waveform.posn.1.type=bus
7b87d14d 842unit.2.0.waveform.posn.10.channel=77
843unit.2.0.waveform.posn.10.name=PERRn
e35799b4 844unit.2.0.waveform.posn.10.radix=1
1afff8d4 845unit.2.0.waveform.posn.10.type=signal
7b87d14d 846unit.2.0.waveform.posn.11.channel=78
847unit.2.0.waveform.posn.11.name=REQn
114b8f21 848unit.2.0.waveform.posn.11.radix=1
1afff8d4 849unit.2.0.waveform.posn.11.type=signal
7b87d14d 850unit.2.0.waveform.posn.12.channel=79
851unit.2.0.waveform.posn.12.name=GNTn
114b8f21 852unit.2.0.waveform.posn.12.radix=1
1afff8d4 853unit.2.0.waveform.posn.12.type=signal
114b8f21 854unit.2.0.waveform.posn.13.channel=2147483646
7b87d14d 855unit.2.0.waveform.posn.13.name=R_FIFO
114b8f21 856unit.2.0.waveform.posn.13.radix=1
857unit.2.0.waveform.posn.13.type=bus
7b87d14d 858unit.2.0.waveform.posn.14.channel=1
859unit.2.0.waveform.posn.14.name=R_Empty
114b8f21 860unit.2.0.waveform.posn.14.radix=1
1afff8d4 861unit.2.0.waveform.posn.14.type=signal
7b87d14d 862unit.2.0.waveform.posn.15.channel=2
863unit.2.0.waveform.posn.15.name=R_Half
864unit.2.0.waveform.posn.15.radix=1
1afff8d4 865unit.2.0.waveform.posn.15.type=signal
7b87d14d 866unit.2.0.waveform.posn.16.channel=3
867unit.2.0.waveform.posn.16.name=R_Full
868unit.2.0.waveform.posn.16.radix=1
1afff8d4 869unit.2.0.waveform.posn.16.type=signal
7b87d14d 870unit.2.0.waveform.posn.17.channel=4
871unit.2.0.waveform.posn.17.name=R_READ
872unit.2.0.waveform.posn.17.radix=1
1afff8d4 873unit.2.0.waveform.posn.17.type=signal
7b87d14d 874unit.2.0.waveform.posn.18.channel=5
875unit.2.0.waveform.posn.18.name=R_RESET
876unit.2.0.waveform.posn.18.radix=1
1afff8d4 877unit.2.0.waveform.posn.18.type=signal
7b87d14d 878unit.2.0.waveform.posn.19.channel=6
879unit.2.0.waveform.posn.19.name=R_RETRANS
880unit.2.0.waveform.posn.19.radix=1
1afff8d4 881unit.2.0.waveform.posn.19.type=signal
114b8f21 882unit.2.0.waveform.posn.2.channel=2147483646
883unit.2.0.waveform.posn.2.name=CBEn
884unit.2.0.waveform.posn.2.radix=6
885unit.2.0.waveform.posn.2.type=bus
7b87d14d 886unit.2.0.waveform.posn.20.channel=7
887unit.2.0.waveform.posn.20.name=R_WRITE
888unit.2.0.waveform.posn.20.radix=1
1afff8d4 889unit.2.0.waveform.posn.20.type=signal
7b87d14d 890unit.2.0.waveform.posn.21.channel=2147483646
891unit.2.0.waveform.posn.21.name=S_FIFO
114b8f21 892unit.2.0.waveform.posn.21.radix=1
7b87d14d 893unit.2.0.waveform.posn.21.type=bus
894unit.2.0.waveform.posn.22.channel=8
895unit.2.0.waveform.posn.22.name=S_Empty
114b8f21 896unit.2.0.waveform.posn.22.radix=1
1afff8d4 897unit.2.0.waveform.posn.22.type=signal
7b87d14d 898unit.2.0.waveform.posn.23.channel=9
899unit.2.0.waveform.posn.23.name=S_Half
114b8f21 900unit.2.0.waveform.posn.23.radix=1
1afff8d4 901unit.2.0.waveform.posn.23.type=signal
7b87d14d 902unit.2.0.waveform.posn.24.channel=10
903unit.2.0.waveform.posn.24.name=S_Full
114b8f21 904unit.2.0.waveform.posn.24.radix=1
1afff8d4 905unit.2.0.waveform.posn.24.type=signal
7b87d14d 906unit.2.0.waveform.posn.25.channel=11
907unit.2.0.waveform.posn.25.name=S_READ
114b8f21 908unit.2.0.waveform.posn.25.radix=1
1afff8d4 909unit.2.0.waveform.posn.25.type=signal
7b87d14d 910unit.2.0.waveform.posn.26.channel=12
911unit.2.0.waveform.posn.26.name=S_RESET
1afff8d4 912unit.2.0.waveform.posn.26.type=signal
7b87d14d 913unit.2.0.waveform.posn.27.channel=13
914unit.2.0.waveform.posn.27.name=S_RETRANS
1afff8d4 915unit.2.0.waveform.posn.27.type=signal
7b87d14d 916unit.2.0.waveform.posn.28.channel=14
917unit.2.0.waveform.posn.28.name=S_WRITE
1afff8d4 918unit.2.0.waveform.posn.28.type=signal
7b87d14d 919unit.2.0.waveform.posn.29.channel=15
920unit.2.0.waveform.posn.29.name=SER_IN
1afff8d4 921unit.2.0.waveform.posn.29.type=signal
114b8f21 922unit.2.0.waveform.posn.3.channel=71
923unit.2.0.waveform.posn.3.name=FRAMEn
924unit.2.0.waveform.posn.3.radix=6
1afff8d4 925unit.2.0.waveform.posn.3.type=signal
7b87d14d 926unit.2.0.waveform.posn.30.channel=16
927unit.2.0.waveform.posn.30.name=SPC_RDY_IN
1afff8d4 928unit.2.0.waveform.posn.30.type=signal
7b87d14d 929unit.2.0.waveform.posn.31.channel=17
930unit.2.0.waveform.posn.31.name=SER_OUT
1afff8d4 931unit.2.0.waveform.posn.31.type=signal
7b87d14d 932unit.2.0.waveform.posn.32.channel=18
933unit.2.0.waveform.posn.32.name=SPC_RDY_OUT
1afff8d4 934unit.2.0.waveform.posn.32.type=signal
7b87d14d 935unit.2.0.waveform.posn.33.channel=79
936unit.2.0.waveform.posn.33.name=GNTn
1afff8d4 937unit.2.0.waveform.posn.33.type=signal
7b87d14d 938unit.2.0.waveform.posn.34.channel=18
939unit.2.0.waveform.posn.34.name=SPC_RDY_OUT
1afff8d4 940unit.2.0.waveform.posn.34.type=signal
7b87d14d 941unit.2.0.waveform.posn.35.channel=18
942unit.2.0.waveform.posn.35.name=SPC_RDY_OUT
1afff8d4 943unit.2.0.waveform.posn.35.type=signal
7b87d14d 944unit.2.0.waveform.posn.36.channel=18
945unit.2.0.waveform.posn.36.name=SPC_RDY_OUT
1afff8d4 946unit.2.0.waveform.posn.36.type=signal
7b87d14d 947unit.2.0.waveform.posn.37.channel=77
948unit.2.0.waveform.posn.37.name=PERRn
1afff8d4 949unit.2.0.waveform.posn.37.type=signal
7b87d14d 950unit.2.0.waveform.posn.38.channel=77
951unit.2.0.waveform.posn.38.name=PERRn
952unit.2.0.waveform.posn.38.type=signal
114b8f21 953unit.2.0.waveform.posn.4.channel=0
954unit.2.0.waveform.posn.4.name=INTAn
955unit.2.0.waveform.posn.4.radix=1
1afff8d4 956unit.2.0.waveform.posn.4.type=signal
7b87d14d 957unit.2.0.waveform.posn.5.channel=72
958unit.2.0.waveform.posn.5.name=IDSEL
114b8f21 959unit.2.0.waveform.posn.5.radix=1
7b87d14d 960unit.2.0.waveform.posn.5.type=signal
961unit.2.0.waveform.posn.6.channel=73
962unit.2.0.waveform.posn.6.name=TRDYn
114b8f21 963unit.2.0.waveform.posn.6.radix=1
1afff8d4 964unit.2.0.waveform.posn.6.type=signal
7b87d14d 965unit.2.0.waveform.posn.7.channel=74
966unit.2.0.waveform.posn.7.name=IRDYn
114b8f21 967unit.2.0.waveform.posn.7.radix=1
1afff8d4 968unit.2.0.waveform.posn.7.type=signal
7b87d14d 969unit.2.0.waveform.posn.8.channel=75
970unit.2.0.waveform.posn.8.name=STOPn
e35799b4 971unit.2.0.waveform.posn.8.radix=1
1afff8d4 972unit.2.0.waveform.posn.8.type=signal
7b87d14d 973unit.2.0.waveform.posn.9.channel=76
974unit.2.0.waveform.posn.9.name=SERRn
e35799b4 975unit.2.0.waveform.posn.9.radix=1
114b8f21 976unit.2.0.waveform.posn.9.type=signal
1e992b68 977unit.2.1.6.HEIGHT6=0.425
978unit.2.1.6.WIDTH6=0.6126582
979unit.2.1.6.X6=0.016455697
980unit.2.1.6.Y6=0.32647058
981unit.2.1.browser_tree_state<Async\ Input\ Port>=1
982unit.2.1.browser_tree_state<Sync\ Output\ Port>=1
cf1ee28a 983unit.2.1.coretype=VIO
984unit.2.1.port.-1.buscount=0
1e992b68 985unit.2.1.port.-1.channelcount=4
986unit.2.1.port.-1.s.0.alias=LED_PCIReset
987unit.2.1.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
988unit.2.1.port.-1.s.0.display=16
989unit.2.1.port.-1.s.0.name=AsyncIn[0]
990unit.2.1.port.-1.s.0.orderindex=-1
991unit.2.1.port.-1.s.0.persistence=0
992unit.2.1.port.-1.s.0.value=0
993unit.2.1.port.-1.s.0.visible=1
994unit.2.1.port.-1.s.1.alias=LED_IDSEL
995unit.2.1.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
996unit.2.1.port.-1.s.1.display=16
997unit.2.1.port.-1.s.1.name=AsyncIn[1]
998unit.2.1.port.-1.s.1.orderindex=-1
999unit.2.1.port.-1.s.1.persistence=0
1000unit.2.1.port.-1.s.1.value=0
1001unit.2.1.port.-1.s.1.visible=1
1002unit.2.1.port.-1.s.2.alias=LED_FRAME
1003unit.2.1.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
1004unit.2.1.port.-1.s.2.display=16
1005unit.2.1.port.-1.s.2.name=AsyncIn[2]
1006unit.2.1.port.-1.s.2.orderindex=-1
1007unit.2.1.port.-1.s.2.persistence=0
1008unit.2.1.port.-1.s.2.value=0
1009unit.2.1.port.-1.s.2.visible=1
1010unit.2.1.port.-1.s.3.alias=LED_INTA
1011unit.2.1.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
1012unit.2.1.port.-1.s.3.display=16
1013unit.2.1.port.-1.s.3.name=AsyncIn[3]
1014unit.2.1.port.-1.s.3.orderindex=-1
1015unit.2.1.port.-1.s.3.persistence=0
1016unit.2.1.port.-1.s.3.value=0
1017unit.2.1.port.-1.s.3.visible=1
cf1ee28a 1018unit.2.1.port.0.buscount=0
1019unit.2.1.port.0.channelcount=0
1020unit.2.1.port.1.buscount=0
1e992b68 1021unit.2.1.port.1.channelcount=0
cf1ee28a 1022unit.2.1.port.1.s.0.alias=PCI_INTA
1023unit.2.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
d71e9a2a 1024unit.2.1.port.1.s.0.display=1
cf1ee28a 1025unit.2.1.port.1.s.0.name=AsyncOut[0]
1026unit.2.1.port.1.s.0.orderindex=-1
1027unit.2.1.port.1.s.0.persistence=0
d71e9a2a 1028unit.2.1.port.1.s.0.value=0
cf1ee28a 1029unit.2.1.port.1.s.0.visible=1
1030unit.2.1.port.2.buscount=0
1e992b68 1031unit.2.1.port.2.channelcount=1
1032unit.2.1.port.2.s.0.alias=PCI_INTA
1033unit.2.1.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
1034unit.2.1.port.2.s.0.display=1
1035unit.2.1.port.2.s.0.name=SyncOut[0]
1036unit.2.1.port.2.s.0.orderindex=-1
1037unit.2.1.port.2.s.0.persistence=0
1038unit.2.1.port.2.s.0.value=0
1039unit.2.1.port.2.s.0.visible=1
cf1ee28a 1040unit.2.1.portcount=3
1041unit.2.1.username=MyVIO1
1e992b68 1042unit.2.1.vio.count=5
cf1ee28a 1043unit.2.1.vio.posn.0.channel=0
1e992b68 1044unit.2.1.vio.posn.0.name=LED_PCIReset
1045unit.2.1.vio.posn.0.port=-1
cf1ee28a 1046unit.2.1.vio.posn.0.type=signal
1e992b68 1047unit.2.1.vio.posn.1.channel=1
1048unit.2.1.vio.posn.1.name=LED_IDSEL
1049unit.2.1.vio.posn.1.port=-1
1050unit.2.1.vio.posn.1.type=signal
1051unit.2.1.vio.posn.2.channel=2
1052unit.2.1.vio.posn.2.name=LED_FRAME
1053unit.2.1.vio.posn.2.port=-1
1054unit.2.1.vio.posn.2.type=signal
1055unit.2.1.vio.posn.3.channel=3
1056unit.2.1.vio.posn.3.name=LED_INTA
1057unit.2.1.vio.posn.3.port=-1
1058unit.2.1.vio.posn.3.type=signal
1059unit.2.1.vio.posn.4.channel=0
1060unit.2.1.vio.posn.4.name=PCI_INTA
1061unit.2.1.vio.posn.4.port=2
1062unit.2.1.vio.posn.4.type=signal
cf1ee28a 1063unit.2.1.vio.readperiod=0
Impressum, Datenschutz