]> git.zerfleddert.de Git - raggedstone/blame - ethernet/source/top.vhd
PHY Documentation
[raggedstone] / ethernet / source / top.vhd
CommitLineData
27f6f620 1LIBRARY ieee;
2USE ieee.std_logic_1164.ALL;
3
4entity ethernet is
40a1f26c 5PORT(
6 PCI_AD : INOUT std_logic_vector(31 downto 0);
7 PCI_CLOCK : IN std_logic;
8 PCI_IDSEL : IN std_logic;
9 PCI_CBEn : INOUT std_logic_vector (3 downto 0);
10 PCI_FRAMEn : INOUT std_logic;
11 PCI_IRDYn : INOUT std_logic;
12 PCI_RSTn : INOUT std_logic;
13 PCI_DEVSELn : INOUT std_logic;
14 PCI_INTAn : INOUT std_logic;
15 PCI_PERRn : INOUT std_logic;
16 PCI_SERRn : INOUT std_logic;
17 PCI_STOPn : INOUT std_logic;
18 PCI_TRDYn : INOUT std_logic;
19 PCI_PAR : INOUT std_logic;
20 PCI_REQn : OUT std_logic;
21 PCI_GNTn : IN std_logic;
22
23 MTX_CLK_PAD_I : IN std_logic;
24 MTXD_PAD_O : OUT std_logic_vector (3 downto 0);
25 MTXEN_PAD_O : OUT std_logic;
26 MRX_CLK_PAD_I : IN std_logic;
27 MRXD_PAD_I : IN std_logic_vector (3 downto 0);
28 MRXDV_PAD_I : IN std_logic;
29 MRXERR_PAD_I : IN std_logic;
30 MCOLL_PAD_I : IN std_logic;
31 MCRS_PAD_I : IN std_logic;
32 MD_PAD_IO : INOUT std_logic;
4d7b5fdd 33 MDC_PAD_O : OUT std_logic;
34
7a6a1ff7 35 PHY_CLOCK : OUT std_logic;
36
4d7b5fdd 37 LED_2 : OUT std_logic
40a1f26c 38);
27f6f620 39end ethernet;
40a1f26c 40
27f6f620 41architecture ethernet_arch of ethernet is
40a1f26c 42
43COMPONENT eth_top
44PORT(
45 wb_clk_i : IN std_logic;
46 wb_rst_i : IN std_logic;
47 wb_dat_i : IN std_logic_vector(31 downto 0);
48 wb_adr_i : IN std_logic_vector(11 downto 2);
49 wb_sel_i : IN std_logic_vector(3 downto 0);
50 wb_we_i : IN std_logic;
51 wb_cyc_i : IN std_logic;
52 wb_stb_i : IN std_logic;
53 m_wb_dat_i : IN std_logic_vector(31 downto 0);
54 m_wb_ack_i : IN std_logic;
55 m_wb_err_i : IN std_logic;
56 mtx_clk_pad_i : IN std_logic;
57 mrx_clk_pad_i : IN std_logic;
58 mrxd_pad_i : IN std_logic_vector(3 downto 0);
59 mrxdv_pad_i : IN std_logic;
60 mrxerr_pad_i : IN std_logic;
61 mcoll_pad_i : IN std_logic;
62 mcrs_pad_i : IN std_logic;
63 md_pad_i : IN std_logic;
64 wb_dat_o : OUT std_logic_vector(31 downto 0);
65 wb_ack_o : OUT std_logic;
66 wb_err_o : OUT std_logic;
67 m_wb_adr_o : OUT std_logic_vector(31 downto 0);
68 m_wb_sel_o : OUT std_logic_vector(3 downto 0);
69 m_wb_we_o : OUT std_logic;
70 m_wb_dat_o : OUT std_logic_vector(31 downto 0);
71 m_wb_cyc_o : OUT std_logic;
72 m_wb_stb_o : OUT std_logic;
73 mtxd_pad_o : OUT std_logic_vector(3 downto 0);
74 mtxen_pad_o : OUT std_logic;
75 mtxerr_pad_o : OUT std_logic;
76 mdc_pad_o : OUT std_logic;
77 md_pad_o : OUT std_logic;
78 md_padoe_o : OUT std_logic;
361ec26f 79 m_wb_cti_o : OUT std_logic_vector(2 downto 0);
80 m_wb_bte_o : OUT std_logic_vector(1 downto 0);
40a1f26c 81 int_o : OUT std_logic
82 );
83END COMPONENT;
84
85COMPONENT pci_bridge32
86PORT(
87 wb_clk_i : IN std_logic;
88 wb_rst_i : IN std_logic;
89 wb_int_i : IN std_logic;
90 wbs_adr_i : IN std_logic_vector(31 downto 0);
91 wbs_dat_i : IN std_logic_vector(31 downto 0);
92 wbs_sel_i : IN std_logic_vector(3 downto 0);
93 wbs_cyc_i : IN std_logic;
94 wbs_stb_i : IN std_logic;
95 wbs_we_i : IN std_logic;
96 wbs_cti_i : IN std_logic_vector(2 downto 0);
97 wbs_bte_i : IN std_logic_vector(1 downto 0);
98 wbm_dat_i : IN std_logic_vector(31 downto 0);
99 wbm_ack_i : IN std_logic;
100 wbm_rty_i : IN std_logic;
101 wbm_err_i : IN std_logic;
102 pci_clk_i : IN std_logic;
103 pci_rst_i : IN std_logic;
104 pci_inta_i : IN std_logic;
105 pci_gnt_i : IN std_logic;
106 pci_frame_i : IN std_logic;
107 pci_irdy_i : IN std_logic;
108 pci_idsel_i : IN std_logic;
109 pci_devsel_i : IN std_logic;
110 pci_trdy_i : IN std_logic;
111 pci_stop_i : IN std_logic;
112 pci_ad_i : IN std_logic_vector(31 downto 0);
113 pci_cbe_i : IN std_logic_vector(3 downto 0);
114 pci_par_i : IN std_logic;
115 pci_perr_i : IN std_logic;
116 wb_rst_o : OUT std_logic;
117 wb_int_o : OUT std_logic;
118 wbs_dat_o : OUT std_logic_vector(31 downto 0);
119 wbs_ack_o : OUT std_logic;
120 wbs_rty_o : OUT std_logic;
121 wbs_err_o : OUT std_logic;
122 wbm_adr_o : OUT std_logic_vector(31 downto 0);
123 wbm_dat_o : OUT std_logic_vector(31 downto 0);
124 wbm_sel_o : OUT std_logic_vector(3 downto 0);
125 wbm_cyc_o : OUT std_logic;
126 wbm_stb_o : OUT std_logic;
127 wbm_we_o : OUT std_logic;
128 wbm_cti_o : OUT std_logic_vector(2 downto 0);
129 wbm_bte_o : OUT std_logic_vector(1 downto 0);
130 pci_rst_o : OUT std_logic;
131 pci_inta_o : OUT std_logic;
132 pci_rst_oe_o : OUT std_logic;
133 pci_inta_oe_o : OUT std_logic;
134 pci_req_o : OUT std_logic;
135 pci_req_oe_o : OUT std_logic;
136 pci_frame_o : OUT std_logic;
137 pci_frame_oe_o : OUT std_logic;
138 pci_irdy_oe_o : OUT std_logic;
139 pci_devsel_oe_o : OUT std_logic;
140 pci_trdy_oe_o : OUT std_logic;
141 pci_stop_oe_o : OUT std_logic;
142 pci_ad_oe_o : OUT std_logic_vector(31 downto 0);
143 pci_cbe_oe_o : OUT std_logic_vector(3 downto 0);
144 pci_irdy_o : OUT std_logic;
145 pci_devsel_o : OUT std_logic;
146 pci_trdy_o : OUT std_logic;
147 pci_stop_o : OUT std_logic;
148 pci_ad_o : OUT std_logic_vector(31 downto 0);
149 pci_cbe_o : OUT std_logic_vector(3 downto 0);
150 pci_par_o : OUT std_logic;
151 pci_par_oe_o : OUT std_logic;
152 pci_perr_o : OUT std_logic;
153 pci_perr_oe_o : OUT std_logic;
154 pci_serr_o : OUT std_logic;
155 pci_serr_oe_o : OUT std_logic
156 );
157END COMPONENT;
158
c8b3e197 159component icon
160port (
161 control0 : out std_logic_vector(35 downto 0)
70f633de 162 );
c8b3e197 163end component;
164
165component ila
166port (
167 control : in std_logic_vector(35 downto 0);
168 clk : in std_logic;
169 data : in std_logic_vector(63 downto 0);
170 trig0 : in std_logic_vector(31 downto 0)
171 );
172end component;
70f633de 173
ac5b8271 174component phydcm is
175port ( CLKIN_IN : in std_logic;
176 RST_IN : in std_logic;
177 CLKFX_OUT : out std_logic;
ac5b8271 178 CLK0_OUT : out std_logic;
179 LOCKED_OUT : out std_logic);
180end component;
181
40a1f26c 182signal pci_rst_o : std_logic;
183signal pci_rst_oe_o : std_logic;
184signal pci_inta_o : std_logic;
185signal pci_inta_oe_o : std_logic;
186signal pci_req_o : std_logic;
187signal pci_req_oe_o : std_logic;
188signal pci_frame_o : std_logic;
189signal pci_frame_oe_o : std_logic;
190signal pci_irdy_o : std_logic;
191signal pci_irdy_oe_o : std_logic;
192signal pci_devsel_o : std_logic;
193signal pci_devsel_oe_o : std_logic;
194signal pci_trdy_o : std_logic;
195signal pci_trdy_oe_o : std_logic;
196signal pci_stop_o : std_logic;
197signal pci_stop_oe_o : std_logic;
198signal pci_par_o : std_logic;
199signal pci_par_oe_o : std_logic;
200signal pci_perr_o : std_logic;
201signal pci_perr_oe_o : std_logic;
202signal pci_serr_o : std_logic;
203signal pci_serr_oe_o : std_logic;
27f6f620 204signal pci_ad_oe_o : std_logic_vector(31 downto 0);
205signal pci_cbe_oe_o : std_logic_vector(3 downto 0);
40a1f26c 206signal pci_ad_o : std_logic_vector (31 downto 0);
207signal pci_cbe_o : std_logic_vector (3 downto 0);
208
27f6f620 209signal wb_clk_i : std_logic;
210signal wb_rst_i : std_logic;
211signal wb_dat_i : std_logic_vector (31 downto 0);
212signal wb_dat_o : std_logic_vector (31 downto 0);
213signal wb_adr_i : std_logic_vector (11 downto 2);
214signal wb_sel_i : std_logic_vector (3 downto 0);
215signal wb_we_i : std_logic;
216signal wb_cyc_i : std_logic;
217signal wb_stb_i : std_logic;
218signal wb_ack_o : std_logic;
219signal wb_err_o : std_logic;
220signal m_wb_adr_o : std_logic_vector(31 downto 0);
221signal m_wb_sel_o : std_logic_vector(3 downto 0);
222signal m_wb_we_o : std_logic;
223signal m_wb_dat_o : std_logic_vector(31 downto 0);
224signal m_wb_dat_i : std_logic_vector(31 downto 0);
225signal m_wb_cyc_o : std_logic;
226signal m_wb_stb_o : std_logic;
227signal m_wb_ack_i : std_logic;
228signal m_wb_err_i : std_logic;
229signal md_pad_o : std_logic;
230signal md_padoe_o : std_logic;
231signal int_o : std_logic;
232signal wbm_adr_o : std_logic_vector(31 downto 0);
4b4adc0d 233signal mdc_pad_o_watch : std_logic;
27f6f620 234
361ec26f 235signal m_wb_cti_o : std_logic_vector(2 downto 0);
236signal m_wb_bte_o : std_logic_vector(1 downto 0);
237
c8b3e197 238signal control0 : std_logic_vector(35 downto 0);
239signal data : std_logic_vector(63 downto 0);
240signal trig0 : std_logic_vector(31 downto 0);
241
242
40a1f26c 243BEGIN
244
221bd70b 245PCI_RSTn <= pci_rst_o when (pci_rst_oe_o = '1') else 'Z';
246PCI_INTAn <= pci_inta_o when (pci_inta_oe_o = '1') else 'Z';
247PCI_REQn <= pci_req_o when (pci_req_oe_o = '1') else 'Z';
248PCI_FRAMEn <= pci_frame_o when (pci_frame_oe_o = '1') else 'Z';
249PCI_IRDYn <= pci_irdy_o when (pci_irdy_oe_o = '1') else 'Z';
250PCI_DEVSELn <= pci_devsel_o when (pci_devsel_oe_o = '1') else 'Z';
251PCI_TRDYn <= pci_trdy_o when (pci_trdy_oe_o = '1') else 'Z';
252PCI_STOPn <= pci_stop_o when (pci_stop_oe_o = '1') else 'Z';
27f6f620 253PCI_PAR <= pci_par_o when (pci_par_oe_o = '1') else 'Z';
221bd70b 254PCI_PERRn <= pci_perr_o when (pci_perr_oe_o = '1') else 'Z';
255PCI_SERRn <= pci_serr_o when (pci_serr_oe_o = '1') else 'Z';
27f6f620 256MD_PAD_IO <= md_pad_o when (md_padoe_o = '1') else 'Z';
257
258BLA1: FOR i in 31 downto 0 generate
259PCI_AD(i) <= pci_ad_o(i) when (pci_ad_oe_o(i) = '1') else 'Z';
260end generate;
261
262BLA2: FOR i in 3 downto 0 generate
263PCI_CBEn(i) <= pci_cbe_o(i) when (pci_cbe_oe_o(i) = '1') else 'Z';
264end generate;
265
1a94112a 266wb_adr_i(11 downto 8) <= (others => '0');
267wb_adr_i(7 downto 2) <= wbm_adr_o (7 downto 2);
23944ea1 268
2c4b2f25 269wb_clk_i <= PCI_CLOCK;
270
23944ea1 271data(31 downto 0) <= wbm_adr_o;
272data(40 downto 33) <= wbm_adr_o (7 downto 0);
4b4adc0d 273data(41) <= MD_PAD_IO;
274data(42) <= md_pad_o;
275data(43) <= md_padoe_o;
276data(44) <= mdc_pad_o_watch;
277MDC_PAD_O <= mdc_pad_o_watch;
278data(63 downto 45) <= (others => '0');
c8b3e197 279
0d71737f 280trig0(31 downto 0) <= (
c8b3e197 281 0 => wb_stb_i,
4b4adc0d 282 1 => MD_PAD_IO,
283 2 => md_pad_o,
284 3 => md_padoe_o,
c8b3e197 285 others => '0'
286);
287
40a1f26c 288Inst_pci_bridge32: pci_bridge32 PORT MAP(
27f6f620 289 wb_clk_i => wb_clk_i ,
290 wb_rst_i => '0',
291 wb_rst_o => wb_rst_i,
292 wb_int_i => int_o,
293 -- wb_int_o => ,
294 wbs_adr_i => m_wb_adr_o ,
295 wbs_dat_i => m_wb_dat_o,
296 wbs_dat_o => m_wb_dat_i,
297 wbs_sel_i => m_wb_sel_o,
298 wbs_cyc_i => m_wb_cyc_o,
299 wbs_stb_i => m_wb_stb_o,
300 wbs_we_i => m_wb_we_o,
361ec26f 301 wbs_cti_i => m_wb_cti_o,
302 wbs_bte_i => m_wb_bte_o,
27f6f620 303 wbs_ack_o => m_wb_ack_i,
304 -- wbs_rty_o => ,
305 wbs_err_o => m_wb_err_i,
306 wbm_adr_o => wbm_adr_o,
307 wbm_dat_i => wb_dat_o,
308 wbm_dat_o => wb_dat_i,
309 wbm_sel_o => wb_sel_i,
310 wbm_cyc_o => wb_cyc_i,
311 wbm_stb_o => wb_stb_i,
312 wbm_we_o => wb_we_i,
313 -- wbm_cti_o => ,
314 -- wbm_bte_o => ,
315 wbm_ack_i => wb_ack_o ,
316 wbm_rty_i => '0',
317 wbm_err_i => wb_err_o,
40a1f26c 318 pci_clk_i => PCI_CLOCK,
221bd70b 319 pci_rst_i => PCI_RSTn,
40a1f26c 320 pci_rst_o => pci_rst_o ,
321 pci_rst_oe_o => pci_rst_oe_o,
221bd70b 322 pci_inta_i => PCI_INTAn,
40a1f26c 323 pci_inta_o => pci_inta_o,
324 pci_inta_oe_o => pci_inta_oe_o,
325 pci_req_o => pci_req_o,
326 pci_req_oe_o => pci_req_oe_o,
221bd70b 327 pci_gnt_i => PCI_GNTn,
328 pci_frame_i => PCI_FRAMEn,
40a1f26c 329 pci_frame_o => pci_frame_o,
330 pci_frame_oe_o => pci_frame_oe_o,
331 pci_irdy_oe_o => pci_irdy_oe_o,
332 pci_devsel_oe_o => pci_devsel_oe_o,
333 pci_trdy_oe_o => pci_trdy_oe_o,
334 pci_stop_oe_o => pci_stop_oe_o,
335 pci_ad_oe_o => pci_ad_oe_o,
336 pci_cbe_oe_o => pci_cbe_oe_o,
221bd70b 337 pci_irdy_i => PCI_IRDYn,
40a1f26c 338 pci_irdy_o => pci_irdy_o,
339 pci_idsel_i => PCI_IDSEL,
221bd70b 340 pci_devsel_i => PCI_DEVSELn,
40a1f26c 341 pci_devsel_o => pci_devsel_o,
221bd70b 342 pci_trdy_i => PCI_TRDYn,
40a1f26c 343 pci_trdy_o => pci_trdy_o,
221bd70b 344 pci_stop_i => PCI_STOPn,
40a1f26c 345 pci_stop_o => pci_stop_o,
346 pci_ad_i => PCI_AD,
347 pci_ad_o => pci_ad_o,
221bd70b 348 pci_cbe_i => PCI_CBEn,
40a1f26c 349 pci_cbe_o => pci_cbe_o,
350 pci_par_i => PCI_PAR,
351 pci_par_o => pci_par_o,
352 pci_par_oe_o => pci_par_oe_o,
221bd70b 353 pci_perr_i => PCI_PERRn,
40a1f26c 354 pci_perr_o => pci_perr_o,
355 pci_perr_oe_o => pci_perr_oe_o,
356 pci_serr_o => pci_serr_o,
357 pci_serr_oe_o => pci_serr_oe_o
358);
359
360Inst_eth_top: eth_top PORT MAP(
27f6f620 361 wb_clk_i => wb_clk_i ,
362 wb_rst_i => wb_rst_i ,
363 wb_dat_i => wb_dat_i ,
364 wb_dat_o => wb_dat_o ,
365 wb_adr_i => wb_adr_i ,
366 wb_sel_i => wb_sel_i ,
367 wb_we_i => wb_we_i ,
368 wb_cyc_i => wb_cyc_i ,
3f7aa675 369 wb_stb_i => wb_stb_i,
27f6f620 370 wb_ack_o => wb_ack_o ,
371 wb_err_o => wb_err_o ,
372 m_wb_adr_o => m_wb_adr_o,
373 m_wb_sel_o => m_wb_sel_o,
374 m_wb_we_o => m_wb_we_o ,
375 m_wb_dat_o => m_wb_dat_o,
376 m_wb_dat_i => m_wb_dat_i,
377 m_wb_cyc_o => m_wb_cyc_o,
378 m_wb_stb_o => m_wb_stb_o,
379 m_wb_ack_i => m_wb_ack_i,
380 m_wb_err_i => m_wb_err_i,
381 mtx_clk_pad_i => MTX_CLK_PAD_I,
382 mtxd_pad_o => MTXD_PAD_O,
383 mtxen_pad_o => MTXEN_PAD_O,
4d7b5fdd 384 mtxerr_pad_o => LED_2,
27f6f620 385 mrx_clk_pad_i => MRX_CLK_PAD_I,
386 mrxd_pad_i => MRXD_PAD_I,
387 mrxdv_pad_i => MRXDV_PAD_I,
388 mrxerr_pad_i => MRXERR_PAD_I,
389 mcoll_pad_i => MCOLL_PAD_I,
390 mcrs_pad_i => MCRS_PAD_I,
4b4adc0d 391 mdc_pad_o => mdc_pad_o_watch,
27f6f620 392 md_pad_i => MD_PAD_IO,
393 md_pad_o => md_pad_o,
394 md_padoe_o => md_padoe_o,
361ec26f 395 m_wb_cti_o => m_wb_cti_o,
396 m_wb_bte_o => m_wb_bte_o,
27f6f620 397 int_o => int_o
40a1f26c 398);
399
c8b3e197 400i_icon : icon
401port map (
402 control0 => control0
403 );
404
405i_ila : ila
406port map (
407 control => control0,
408 clk => PCI_CLOCK,
409 data => data,
410 trig0 => trig0
411 );
70f633de 412
ac5b8271 413eth_dcm : phydcm
414port map (
415 CLKIN_IN => PCI_CLOCK,
416 RST_IN => not PCI_RSTn,
675f45d0 417 CLKFX_OUT => PHY_CLOCK,
418 CLK0_OUT => open,
419 LOCKED_OUT => open
ac5b8271 420 );
421
27f6f620 422end architecture ethernet_arch;
Impressum, Datenschutz