]> git.zerfleddert.de Git - raggedstone/blame - dhwk/source/config_08h.vhd
other watched signals
[raggedstone] / dhwk / source / config_08h.vhd
CommitLineData
377c0242 1-- J.STELZNER\r
2-- INFORMATIK-3 LABOR\r
3-- 23.08.2006\r
4-- File: CONFIG_08H.VHD\r
5\r
6library IEEE;\r
7use IEEE.std_logic_1164.all;\r
8\r
9entity CONFIG_08H is\r
10 port\r
11 (\r
12 REVISION_ID :in std_logic_vector ( 7 downto 0);\r
13 CONF_DATA_08H :out std_logic_vector (31 downto 0)\r
14 );\r
15end entity CONFIG_08H;\r
16\r
17architecture CONFIG_08H_DESIGN of CONFIG_08H is\r
18\r
19-- PCI Configuration Space Header Addr : HEX 08 --\r
20\r
21 constant CONF_CLASS_CODE :std_logic_vector (31 downto 8) := X"078000";--other comm. device \r
22--constant CONF_REVISION_ID :std_logic_vector ( 7 downto 0) := X"00"; \r
23\r
24begin\r
25\r
26 CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID;\r
27\r
28end architecture CONFIG_08H_DESIGN;\r
Impressum, Datenschutz