]> git.zerfleddert.de Git - raggedstone/blame - ideboard/ideboard.prj
+= use xilinx block ram for ethernet
[raggedstone] / ideboard / ideboard.prj
CommitLineData
f026519d 1vhdl work "source/ide.vhd"
Impressum, Datenschutz