]> git.zerfleddert.de Git - raggedstone/blame - dhwk_old/source/pfs.v
-dpram component
[raggedstone] / dhwk_old / source / pfs.v
CommitLineData
ebba63a9 1module pfs2 (clk, a, b);
2 input clk;
3 input a;
4 output b;
5 reg b;
6
7 always @(posedge clk)
8 begin
9 b <= a;
10 end
11endmodule
Impressum, Datenschutz