]> git.zerfleddert.de Git - raggedstone/blame - dhwk/source/pci/ven_rev_id.vhd
white space; fixme
[raggedstone] / dhwk / source / pci / ven_rev_id.vhd
CommitLineData
696ded12 1-- J.STELZNER
2-- INFORMATIK-3 LABOR
3-- 23.08.2006
4-- File: VEN_REV_ID.VHD
5
6library IEEE;
7use IEEE.std_logic_1164.all;
8
9entity VEN_REV_ID is
2612d712 10 port
11 (
12 VEN_ID :out std_logic_vector(15 downto 0);
13 REV_ID :out std_logic_vector( 7 downto 0)
14 );
696ded12 15end entity VEN_REV_ID;
16
17architecture VEN_REV_ID_DESIGN of VEN_REV_ID is
18
19begin
20
2612d712 21 VEN_ID <= X"2222";
22 REV_ID <= X"01";
696ded12 23
24end architecture VEN_REV_ID_DESIGN;
Impressum, Datenschutz