]> git.zerfleddert.de Git - raggedstone/blob - dhwk/dhwk.tok
+= use xilinx block ram for ethernet
[raggedstone] / dhwk / dhwk.tok
1 INT_ACK=0000\b
2 Special_Cycle=0001\b
3 IO_Read=0010\b
4 IO_Write=0011\b
5 MEM_Read=0110\b
6 MEM_Write=0111\b
7 CFG_Read=1010\b
8 CFG_Write=1011\b
9 MEM_ReadMult=1100\b
10 DAC=1101\b
11 MEM_ReadLine=1110\b
12 MEM_WriteAndInv=1111\b
Impressum, Datenschutz