]> git.zerfleddert.de Git - raggedstone/blob - dhwk_old/source/top_dhwk.vhd
define xilinix and fpga
[raggedstone] / dhwk_old / source / top_dhwk.vhd
1 --+-------------------------------------------------------------------------------------------------+
2 --| |
3 --| File: top.vhd |
4 --| |
5 --| Components: pci32lite.vhd |
6 --| pciwbsequ.vhd |
7 --| pcidmux.vhd |
8 --| pciregs.vhd |
9 --| pcipargen.vhd |
10 --| -- Libs -- |
11 --| ona.vhd |
12 --| |
13 --| Description: RS1 PCI Demo : (TOP) Main file. |
14 --| |
15 --| |
16 --| |
17 --+-------------------------------------------------------------------------------------------------+
18 --| |
19 --| Revision history : |
20 --| Date Version Author Description |
21 --| |
22 --| |
23 --| To do: |
24 --| |
25 --+-------------------------------------------------------------------------------------------------+
26
27
28 --+-----------------------------------------------------------------------------+
29 --| LIBRARIES |
30 --+-----------------------------------------------------------------------------+
31
32 library ieee;
33 use ieee.std_logic_1164.all;
34 use ieee.std_logic_arith.all;
35 use ieee.std_logic_unsigned.all;
36
37 --+-----------------------------------------------------------------------------+
38 --| ENTITY |
39 --+-----------------------------------------------------------------------------+
40
41 entity dhwk is
42 port (
43
44 -- General
45 PCI_CLK : in std_logic;
46 PCI_nRES : in std_logic;
47
48 -- PCI target 32bits
49 PCI_AD : inout std_logic_vector(31 downto 0);
50 PCI_CBE : in std_logic_vector(3 downto 0);
51 PCI_PAR : out std_logic;
52 PCI_nFRAME : in std_logic;
53 PCI_nIRDY : in std_logic;
54 PCI_nTRDY : out std_logic;
55 PCI_nDEVSEL : out std_logic;
56 PCI_nSTOP : out std_logic;
57 PCI_IDSEL : in std_logic;
58 PCI_nPERR : out std_logic;
59 PCI_nSERR : out std_logic;
60 PCI_nINT : out std_logic;
61
62 -- debug signals
63 LED3 : out std_logic;
64 LED2 : out std_logic;
65 LED4 : out std_logic;
66 LED5 : out std_logic
67
68 );
69 end dhwk;
70
71
72 --+-----------------------------------------------------------------------------+
73 --| ARCHITECTURE |
74 --+-----------------------------------------------------------------------------+
75
76 architecture dhwk_arch of dhwk is
77
78
79 --+-----------------------------------------------------------------------------+
80 --| COMPONENTS |
81 --+-----------------------------------------------------------------------------+
82
83 component pci32tlite
84 port (
85
86 -- General
87 clk33 : in std_logic;
88 nrst : in std_logic;
89
90 -- PCI target 32bits
91 ad : inout std_logic_vector(31 downto 0);
92 cbe : in std_logic_vector(3 downto 0);
93 par : out std_logic;
94 frame : in std_logic;
95 irdy : in std_logic;
96 trdy : out std_logic;
97 devsel : out std_logic;
98 stop : out std_logic;
99 idsel : in std_logic;
100 perr : out std_logic;
101 serr : out std_logic;
102 intb : out std_logic;
103
104 -- Master whisbone
105 wb_adr_o : out std_logic_vector(24 downto 1);
106 wb_dat_i : in std_logic_vector(15 downto 0);
107 wb_dat_o : out std_logic_vector(15 downto 0);
108 wb_sel_o : out std_logic_vector(1 downto 0);
109 wb_we_o : out std_logic;
110 wb_stb_o : out std_logic;
111 wb_cyc_o : out std_logic;
112 wb_ack_i : in std_logic;
113 wb_err_i : in std_logic;
114 wb_int_i : in std_logic;
115
116 -- debug signals
117 debug_init : out std_logic;
118 debug_access : out std_logic
119
120 );
121 end component;
122
123 component heartbeat
124 port (
125 clk_i : in std_logic;
126 nrst_i : in std_logic;
127 led2_o : out std_logic;
128 led3_o : out std_logic;
129 led4_o : out std_logic;
130 led5_o : out std_logic
131 );
132 end component;
133
134 component generic_fifo_sc_a
135 port (
136 clk : in std_logic;
137 rst : in std_logic;
138 clr : in std_logic;
139 din : in std_logic_vector(7 downto 0);
140 we : in std_logic;
141 dout : out std_logic_vector(7 downto 0);
142 re : in std_logic;
143 full : out std_logic;
144 full_r : out std_logic;
145 empty : out std_logic;
146 empty_r : out std_logic;
147 full_n : out std_logic;
148 full_n_r : out std_logic;
149 empty_n : out std_logic;
150 empty_n_r : out std_logic;
151 level : out std_logic_vector(1 downto 0)
152 );
153 end component;
154
155
156 --+-----------------------------------------------------------------------------+
157 --| CONSTANTS |
158 --+-----------------------------------------------------------------------------+
159 --+-----------------------------------------------------------------------------+
160 --| SIGNALS |
161 --+-----------------------------------------------------------------------------+
162
163 signal wb_adr : std_logic_vector(24 downto 1);
164 signal wb_dat_out : std_logic_vector(15 downto 0);
165 signal wb_dat_in : std_logic_vector(15 downto 0);
166 signal wb_sel : std_logic_vector(1 downto 0);
167 signal wb_we : std_logic;
168 signal wb_stb : std_logic;
169 signal wb_cyc : std_logic;
170 signal wb_ack : std_logic;
171 signal wb_err : std_logic;
172 signal wb_int : std_logic;
173
174
175 begin
176
177 --+-----------------------------------------+
178 --| PCI Target |
179 --+-----------------------------------------+
180
181 u_pci: component pci32tlite
182 port map(
183 clk33 => PCI_CLK,
184 nrst => PCI_nRES,
185 ad => PCI_AD,
186 cbe => PCI_CBE,
187 par => PCI_PAR,
188 frame => PCI_nFRAME,
189 irdy => PCI_nIRDY,
190 trdy => PCI_nTRDY,
191 devsel => PCI_nDEVSEL,
192 stop => PCI_nSTOP,
193 idsel => PCI_IDSEL,
194 perr => PCI_nPERR,
195 serr => PCI_nSERR,
196 intb => PCI_nINT,
197 wb_adr_o => wb_adr,
198 wb_dat_i => wb_dat_out,
199 wb_dat_o => wb_dat_in,
200 wb_sel_o => wb_sel,
201 wb_we_o => wb_we,
202 wb_stb_o => wb_stb,
203 wb_cyc_o => wb_cyc,
204 wb_ack_i => wb_ack,
205 wb_err_i => wb_err,
206 wb_int_i => wb_int
207 -- debug_init => LED3,
208 -- debug_access => LED2
209 );
210
211 --+-----------------------------------------+
212 --| WB-7seg |
213 --+-----------------------------------------+
214
215 my_heartbeat: component heartbeat
216 port map(
217 clk_i => PCI_CLK,
218 nrst_i => PCI_nRES,
219 led2_o => LED2,
220 led3_o => LED3,
221 led4_o => LED4,
222 led5_o => LED5
223 );
224
225 end dhwk_arch;
Impressum, Datenschutz