NET "PCI_AD<0>" LOC = "A5" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<10>" LOC = "E9" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<11>" LOC = "F11" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<12>" LOC = "E10" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<13>" LOC = "A8" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<14>" LOC = "B9" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<15>" LOC = "B10" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<16>" LOC = "F17" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<17>" LOC = "F16" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<18>" LOC = "A14" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<19>" LOC = "B14" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<1>" LOC = "B5" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<20>" LOC = "B15" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<21>" LOC = "A15" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<22>" LOC = "F12" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<23>" LOC = "F13" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<24>" LOC = "D15" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<25>" LOC = "E15" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<26>" LOC = "D17" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<27>" LOC = "C17" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<28>" LOC = "B17" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<29>" LOC = "E17" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<2>" LOC = "E6" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<30>" LOC = "A18" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<31>" LOC = "B18" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<3>" LOC = "D6" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<4>" LOC = "C6" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<5>" LOC = "B6" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<6>" LOC = "D7" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<7>" LOC = "E7" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<8>" LOC = "B8" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<9>" LOC = "F10" | IOSTANDARD = PCI33_3 ; NET "PCI_CLOCK" LOC = "A11" | IOSTANDARD = PCI33_3 ; NET "PCI_IDSEL" LOC = "D14" | IOSTANDARD = PCI33_3 ; NET "PCI_CBEn<0>" LOC = "F9" | IOSTANDARD = PCI33_3 ; NET "PCI_CBEn<1>" LOC = "C10" | IOSTANDARD = PCI33_3 ; NET "PCI_CBEn<2>" LOC = "D13" | IOSTANDARD = PCI33_3 ; NET "PCI_CBEn<3>" LOC = "E13" | IOSTANDARD = PCI33_3 ; NET "PCI_FRAMEn" LOC = "C13" | IOSTANDARD = PCI33_3 ; NET "PCI_IRDYn" LOC = "A13" | IOSTANDARD = PCI33_3 ; NET "PCI_RSTn" LOC = "A19" | IOSTANDARD = PCI33_3 ; NET "PCI_DEVSELn" LOC = "E12" | IOSTANDARD = PCI33_3 ; NET "PCI_INTAn" LOC = "B19" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_PERRn" LOC = "D12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_SERRn" LOC = "B12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_STOPn" LOC = "A12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_TRDYn" LOC = "B13" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_PAR" LOC = "A9" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_REQn" LOC = "C18" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_GNTn" LOC = "D18" | IOSTANDARD = PCI33_3 ; NET "INT_CLOCK" LOC = "AA11" | IOSTANDARD = PCI33_3 ; NET "MTX_CLK_PAD_I" LOC = "M2" | IOSTANDARD = LVCMOS33; NET "MTXD_PAD_O<0>" LOC = "M5" | IOSTANDARD = LVCMOS33; NET "MTXD_PAD_O<1>" LOC = "M6" | IOSTANDARD = LVCMOS33; NET "MTXD_PAD_O<2>" LOC = "T2" | IOSTANDARD = LVCMOS33; NET "MTXD_PAD_O<3>" LOC = "T1" | IOSTANDARD = LVCMOS33; NET "MTXEN_PAD_O" LOC = "M1" | IOSTANDARD = LVCMOS33; # NET "MTXERR_PAD_O" LOC = "" | IOSTANDARD = LVCMOS33; NET "MRX_CLK_PAD_I" LOC = "L1" | IOSTANDARD = LVCMOS33; NET "MRXD_PAD_I<0>" LOC = "N3" | IOSTANDARD = LVCMOS33; NET "MRXD_PAD_I<1>" LOC = "N4" | IOSTANDARD = LVCMOS33; NET "MRXD_PAD_I<2>" LOC = "V4" | IOSTANDARD = LVCMOS33; NET "MRXD_PAD_I<3>" LOC = "V3" | IOSTANDARD = LVCMOS33; NET "MRXDV_PAD_I" LOC = "L2" | IOSTANDARD = LVCMOS33; NET "MRXERR_PAD_I" LOC = "N1" | IOSTANDARD = LVCMOS33; NET "MCOLL_PAD_I" LOC = "N2" | IOSTANDARD = LVCMOS33; NET "MCRS_PAD_I" LOC = "U3" | IOSTANDARD = LVCMOS33; NET "MD_PAD_IO" LOC = "Y1" | IOSTANDARD = LVCMOS33; NET "MDC_PAD_O" LOC = "U2" | IOSTANDARD = LVCMOS33;