]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/pci/parity_out.vhd
perl -p -i -e "s/PCI_CLOCK'event and PCI_CLOCK = '1'/rising_edge(PCI_CLOCK)/" *.vhd
[raggedstone] / dhwk / source / pci / parity_out.vhd
index c8ecfa7859a450832b4e4dda1e251e632e300713..e169ba3df172b7634c749b09216b477f1bf25ead 100644 (file)
@@ -44,7 +44,7 @@ begin
                 PERR_FF <= '0';
                 SERR_FF <= '0';
 
                 PERR_FF <= '0';
                 SERR_FF <= '0';
 
-        elsif (PCI_CLOCK'event and PCI_CLOCK = '1') then
+        elsif (rising_edge(PCI_CLOCK)) then
                 SERR_FF <= ((PCI_PAR_IN xor PAR) and SERR_CHECK) and PA_ER_RE and SERR_ENA and (not SERR_FF);
                 PERR_FF <= ((PCI_PAR_IN xor PAR) and PERR_CHECK) and (not PERR_FF);
         end if;
                 SERR_FF <= ((PCI_PAR_IN xor PAR) and SERR_CHECK) and PA_ER_RE and SERR_ENA and (not SERR_FF);
                 PERR_FF <= ((PCI_PAR_IN xor PAR) and PERR_CHECK) and (not PERR_FF);
         end if;
Impressum, Datenschutz