]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/dhwk.cpj
PCI_IDSEL as trigger
[raggedstone] / dhwk / dhwk.cpj
index 9b11c9eb3246a8e144094c6887509238fceb852a..7b9690a4901cf755dc88784d58c04075cda388ef 100644 (file)
@@ -1,5 +1,5 @@
 #ChipScope Pro Analyzer Project File, Version 3.0
-#Sat Mar 10 20:16:30 GMT+01:00 2007
+#Sun Mar 11 13:59:16 GMT+01:00 2007
 deviceChain.deviceName0=XCF02S
 deviceChain.deviceName1=XCF04S
 deviceChain.deviceName2=XC3S1500
@@ -10,32 +10,32 @@ deviceChain.name0=MyDevice0
 deviceChain.name1=MyDevice1
 deviceChain.name2=MyDevice2
 deviceIds=050450930504609301434093
-mdiAreaHeight=0.7993890020366599
-mdiAreaHeightLast=0.7993890020366599
+mdiAreaHeight=0.850383631713555
+mdiAreaHeightLast=0.850383631713555
 mdiCount=2
 mdiDevice0=2
 mdiDevice1=2
-mdiType0=0
-mdiType1=1
+mdiType0=1
+mdiType1=0
 mdiUnit0=0
 mdiUnit1=0
-navigatorHeight=0.17922606924643583
-navigatorHeightLast=0.17922606924643583
-navigatorWidth=0.17993079584775087
-navigatorWidthLast=0.17993079584775087
+navigatorHeight=0.18797953964194372
+navigatorHeightLast=0.18797953964194372
+navigatorWidth=0.19094922737306844
+navigatorWidthLast=0.19094922737306844
 unit.-1.-1.username=
-unit.2.0.0.HEIGHT0=0.30818415
+unit.2.0.0.HEIGHT0=0.31117824
 unit.2.0.0.TriggerRow0=1
 unit.2.0.0.TriggerRow1=1
 unit.2.0.0.TriggerRow2=1
 unit.2.0.0.WIDTH0=1.0
 unit.2.0.0.X0=0.0
 unit.2.0.0.Y0=0.0
-unit.2.0.1.HEIGHT1=0.6956522
+unit.2.0.1.HEIGHT1=0.69486403
 unit.2.0.1.WIDTH1=1.0
-unit.2.0.1.X1=-0.0010683761
-unit.2.0.1.Y1=0.3043478
-unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
+unit.2.0.1.X1=-0.0013869626
+unit.2.0.1.Y1=0.30513597
+unit.2.0.MFBitsA0=XXXXXX0XXXXXXXXXXXXXXXXXXXXXXXXX
 unit.2.0.MFBitsB0=00000000000000000000000000000000
 unit.2.0.MFCompareA0=0
 unit.2.0.MFCompareB0=999
@@ -57,7 +57,7 @@ unit.2.0.TCName0=TriggerCondition0
 unit.2.0.TCOutputEnable0=0
 unit.2.0.TCOutputHigh0=1
 unit.2.0.TCOutputMode0=0
-unit.2.0.browser_tree_state<Data\ Port>=1
+unit.2.0.browser_tree_state<Data\ Port>=0
 unit.2.0.browser_tree_state<PCI>=0
 unit.2.0.browser_tree_state<R_FIFO>=0
 unit.2.0.browser_tree_state<S_FIFO>=0
@@ -511,42 +511,42 @@ unit.2.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.71.name=DataPort[71]
 unit.2.0.port.-1.s.71.orderindex=-1
 unit.2.0.port.-1.s.71.visible=1
-unit.2.0.port.-1.s.72.alias=DataPort[72]
+unit.2.0.port.-1.s.72.alias=IDSEL
 unit.2.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.72.name=DataPort[72]
 unit.2.0.port.-1.s.72.orderindex=-1
 unit.2.0.port.-1.s.72.visible=1
-unit.2.0.port.-1.s.73.alias=
+unit.2.0.port.-1.s.73.alias=TRDYn
 unit.2.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.73.name=DataPort[73]
 unit.2.0.port.-1.s.73.orderindex=-1
 unit.2.0.port.-1.s.73.visible=1
-unit.2.0.port.-1.s.74.alias=
+unit.2.0.port.-1.s.74.alias=IRDYn
 unit.2.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.74.name=DataPort[74]
 unit.2.0.port.-1.s.74.orderindex=-1
 unit.2.0.port.-1.s.74.visible=1
-unit.2.0.port.-1.s.75.alias=
+unit.2.0.port.-1.s.75.alias=STOPn
 unit.2.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.75.name=DataPort[75]
 unit.2.0.port.-1.s.75.orderindex=-1
 unit.2.0.port.-1.s.75.visible=1
-unit.2.0.port.-1.s.76.alias=
+unit.2.0.port.-1.s.76.alias=SERRn
 unit.2.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.76.name=DataPort[76]
 unit.2.0.port.-1.s.76.orderindex=-1
 unit.2.0.port.-1.s.76.visible=1
-unit.2.0.port.-1.s.77.alias=
+unit.2.0.port.-1.s.77.alias=PERRn
 unit.2.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.77.name=DataPort[77]
 unit.2.0.port.-1.s.77.orderindex=-1
 unit.2.0.port.-1.s.77.visible=1
-unit.2.0.port.-1.s.78.alias=
+unit.2.0.port.-1.s.78.alias=REQn
 unit.2.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.78.name=DataPort[78]
 unit.2.0.port.-1.s.78.orderindex=-1
 unit.2.0.port.-1.s.78.visible=1
-unit.2.0.port.-1.s.79.alias=
+unit.2.0.port.-1.s.79.alias=GNTn
 unit.2.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.-1.s.79.name=DataPort[79]
 unit.2.0.port.-1.s.79.orderindex=-1
@@ -791,12 +791,12 @@ unit.2.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.4.name=TriggerPort0[4]
 unit.2.0.port.0.s.4.orderindex=-1
 unit.2.0.port.0.s.4.visible=1
-unit.2.0.port.0.s.5.alias=
+unit.2.0.port.0.s.5.alias=PCI_RSTn
 unit.2.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.5.name=TriggerPort0[5]
 unit.2.0.port.0.s.5.orderindex=-1
 unit.2.0.port.0.s.5.visible=1
-unit.2.0.port.0.s.6.alias=
+unit.2.0.port.0.s.6.alias=PCI_IDSEL
 unit.2.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
 unit.2.0.port.0.s.6.name=TriggerPort0[6]
 unit.2.0.port.0.s.6.orderindex=-1
@@ -825,7 +825,7 @@ unit.2.0.triggerWindowCount=1
 unit.2.0.triggerWindowDepth=4096
 unit.2.0.triggerWindowTS=0
 unit.2.0.username=MyILA0
-unit.2.0.waveform.count=25
+unit.2.0.waveform.count=33
 unit.2.0.waveform.posn.0.channel=2147483646
 unit.2.0.waveform.posn.0.name=PCI
 unit.2.0.waveform.posn.0.radix=1
@@ -834,129 +834,138 @@ unit.2.0.waveform.posn.1.channel=2147483646
 unit.2.0.waveform.posn.1.name=CBE_ADDR
 unit.2.0.waveform.posn.1.radix=1
 unit.2.0.waveform.posn.1.type=bus
-unit.2.0.waveform.posn.10.channel=5
-unit.2.0.waveform.posn.10.name=R_RESET
+unit.2.0.waveform.posn.10.channel=77
+unit.2.0.waveform.posn.10.name=PERRn
 unit.2.0.waveform.posn.10.radix=1
 unit.2.0.waveform.posn.10.type=signal
-unit.2.0.waveform.posn.11.channel=6
-unit.2.0.waveform.posn.11.name=R_RETRANS
+unit.2.0.waveform.posn.11.channel=78
+unit.2.0.waveform.posn.11.name=REQn
 unit.2.0.waveform.posn.11.radix=1
 unit.2.0.waveform.posn.11.type=signal
-unit.2.0.waveform.posn.12.channel=7
-unit.2.0.waveform.posn.12.name=R_WRITE
+unit.2.0.waveform.posn.12.channel=79
+unit.2.0.waveform.posn.12.name=GNTn
 unit.2.0.waveform.posn.12.radix=1
 unit.2.0.waveform.posn.12.type=signal
 unit.2.0.waveform.posn.13.channel=2147483646
-unit.2.0.waveform.posn.13.name=S_FIFO
+unit.2.0.waveform.posn.13.name=R_FIFO
 unit.2.0.waveform.posn.13.radix=1
 unit.2.0.waveform.posn.13.type=bus
-unit.2.0.waveform.posn.14.channel=8
-unit.2.0.waveform.posn.14.name=S_Empty
+unit.2.0.waveform.posn.14.channel=1
+unit.2.0.waveform.posn.14.name=R_Empty
 unit.2.0.waveform.posn.14.radix=1
 unit.2.0.waveform.posn.14.type=signal
-unit.2.0.waveform.posn.15.channel=9
-unit.2.0.waveform.posn.15.name=S_Half
+unit.2.0.waveform.posn.15.channel=2
+unit.2.0.waveform.posn.15.name=R_Half
+unit.2.0.waveform.posn.15.radix=1
 unit.2.0.waveform.posn.15.type=signal
-unit.2.0.waveform.posn.16.channel=10
-unit.2.0.waveform.posn.16.name=S_Full
+unit.2.0.waveform.posn.16.channel=3
+unit.2.0.waveform.posn.16.name=R_Full
+unit.2.0.waveform.posn.16.radix=1
 unit.2.0.waveform.posn.16.type=signal
-unit.2.0.waveform.posn.17.channel=11
-unit.2.0.waveform.posn.17.name=S_READ
+unit.2.0.waveform.posn.17.channel=4
+unit.2.0.waveform.posn.17.name=R_READ
+unit.2.0.waveform.posn.17.radix=1
 unit.2.0.waveform.posn.17.type=signal
-unit.2.0.waveform.posn.18.channel=12
-unit.2.0.waveform.posn.18.name=S_RESET
+unit.2.0.waveform.posn.18.channel=5
+unit.2.0.waveform.posn.18.name=R_RESET
+unit.2.0.waveform.posn.18.radix=1
 unit.2.0.waveform.posn.18.type=signal
-unit.2.0.waveform.posn.19.channel=13
-unit.2.0.waveform.posn.19.name=S_RETRANS
+unit.2.0.waveform.posn.19.channel=6
+unit.2.0.waveform.posn.19.name=R_RETRANS
+unit.2.0.waveform.posn.19.radix=1
 unit.2.0.waveform.posn.19.type=signal
 unit.2.0.waveform.posn.2.channel=2147483646
 unit.2.0.waveform.posn.2.name=CBEn
 unit.2.0.waveform.posn.2.radix=6
 unit.2.0.waveform.posn.2.type=bus
-unit.2.0.waveform.posn.20.channel=14
-unit.2.0.waveform.posn.20.name=S_WRITE
+unit.2.0.waveform.posn.20.channel=7
+unit.2.0.waveform.posn.20.name=R_WRITE
+unit.2.0.waveform.posn.20.radix=1
 unit.2.0.waveform.posn.20.type=signal
-unit.2.0.waveform.posn.21.channel=15
-unit.2.0.waveform.posn.21.name=SER_IN
+unit.2.0.waveform.posn.21.channel=2147483646
+unit.2.0.waveform.posn.21.name=S_FIFO
 unit.2.0.waveform.posn.21.radix=1
-unit.2.0.waveform.posn.21.type=signal
-unit.2.0.waveform.posn.22.channel=16
-unit.2.0.waveform.posn.22.name=SPC_RDY_IN
+unit.2.0.waveform.posn.21.type=bus
+unit.2.0.waveform.posn.22.channel=8
+unit.2.0.waveform.posn.22.name=S_Empty
 unit.2.0.waveform.posn.22.radix=1
 unit.2.0.waveform.posn.22.type=signal
-unit.2.0.waveform.posn.23.channel=17
-unit.2.0.waveform.posn.23.name=SER_OUT
+unit.2.0.waveform.posn.23.channel=9
+unit.2.0.waveform.posn.23.name=S_Half
 unit.2.0.waveform.posn.23.radix=1
 unit.2.0.waveform.posn.23.type=signal
-unit.2.0.waveform.posn.24.channel=18
-unit.2.0.waveform.posn.24.name=SPC_RDY_OUT
+unit.2.0.waveform.posn.24.channel=10
+unit.2.0.waveform.posn.24.name=S_Full
 unit.2.0.waveform.posn.24.radix=1
 unit.2.0.waveform.posn.24.type=signal
-unit.2.0.waveform.posn.25.channel=18
-unit.2.0.waveform.posn.25.name=SPC_RDY_OUT
+unit.2.0.waveform.posn.25.channel=11
+unit.2.0.waveform.posn.25.name=S_READ
 unit.2.0.waveform.posn.25.radix=1
 unit.2.0.waveform.posn.25.type=signal
-unit.2.0.waveform.posn.26.channel=72
-unit.2.0.waveform.posn.26.name=DEVSELn
+unit.2.0.waveform.posn.26.channel=12
+unit.2.0.waveform.posn.26.name=S_RESET
 unit.2.0.waveform.posn.26.type=signal
-unit.2.0.waveform.posn.27.channel=35
-unit.2.0.waveform.posn.27.name=DataPort[35]
+unit.2.0.waveform.posn.27.channel=13
+unit.2.0.waveform.posn.27.name=S_RETRANS
 unit.2.0.waveform.posn.27.type=signal
-unit.2.0.waveform.posn.28.channel=35
-unit.2.0.waveform.posn.28.name=DataPort[35]
+unit.2.0.waveform.posn.28.channel=14
+unit.2.0.waveform.posn.28.name=S_WRITE
 unit.2.0.waveform.posn.28.type=signal
-unit.2.0.waveform.posn.29.channel=35
-unit.2.0.waveform.posn.29.name=DataPort[35]
+unit.2.0.waveform.posn.29.channel=15
+unit.2.0.waveform.posn.29.name=SER_IN
 unit.2.0.waveform.posn.29.type=signal
 unit.2.0.waveform.posn.3.channel=71
 unit.2.0.waveform.posn.3.name=FRAMEn
 unit.2.0.waveform.posn.3.radix=6
 unit.2.0.waveform.posn.3.type=signal
-unit.2.0.waveform.posn.30.channel=35
-unit.2.0.waveform.posn.30.name=DataPort[35]
+unit.2.0.waveform.posn.30.channel=16
+unit.2.0.waveform.posn.30.name=SPC_RDY_IN
 unit.2.0.waveform.posn.30.type=signal
-unit.2.0.waveform.posn.31.channel=35
-unit.2.0.waveform.posn.31.name=DataPort[35]
+unit.2.0.waveform.posn.31.channel=17
+unit.2.0.waveform.posn.31.name=SER_OUT
 unit.2.0.waveform.posn.31.type=signal
-unit.2.0.waveform.posn.32.channel=35
-unit.2.0.waveform.posn.32.name=DataPort[35]
+unit.2.0.waveform.posn.32.channel=18
+unit.2.0.waveform.posn.32.name=SPC_RDY_OUT
 unit.2.0.waveform.posn.32.type=signal
-unit.2.0.waveform.posn.33.channel=35
-unit.2.0.waveform.posn.33.name=DataPort[35]
+unit.2.0.waveform.posn.33.channel=79
+unit.2.0.waveform.posn.33.name=GNTn
 unit.2.0.waveform.posn.33.type=signal
-unit.2.0.waveform.posn.34.channel=35
-unit.2.0.waveform.posn.34.name=DataPort[35]
+unit.2.0.waveform.posn.34.channel=18
+unit.2.0.waveform.posn.34.name=SPC_RDY_OUT
 unit.2.0.waveform.posn.34.type=signal
-unit.2.0.waveform.posn.35.channel=35
-unit.2.0.waveform.posn.35.name=DataPort[35]
+unit.2.0.waveform.posn.35.channel=18
+unit.2.0.waveform.posn.35.name=SPC_RDY_OUT
 unit.2.0.waveform.posn.35.type=signal
-unit.2.0.waveform.posn.36.channel=35
-unit.2.0.waveform.posn.36.name=DataPort[35]
+unit.2.0.waveform.posn.36.channel=18
+unit.2.0.waveform.posn.36.name=SPC_RDY_OUT
 unit.2.0.waveform.posn.36.type=signal
-unit.2.0.waveform.posn.37.channel=35
-unit.2.0.waveform.posn.37.name=DataPort[35]
+unit.2.0.waveform.posn.37.channel=77
+unit.2.0.waveform.posn.37.name=PERRn
 unit.2.0.waveform.posn.37.type=signal
+unit.2.0.waveform.posn.38.channel=77
+unit.2.0.waveform.posn.38.name=PERRn
+unit.2.0.waveform.posn.38.type=signal
 unit.2.0.waveform.posn.4.channel=0
 unit.2.0.waveform.posn.4.name=INTAn
 unit.2.0.waveform.posn.4.radix=1
 unit.2.0.waveform.posn.4.type=signal
-unit.2.0.waveform.posn.5.channel=2147483646
-unit.2.0.waveform.posn.5.name=R_FIFO
+unit.2.0.waveform.posn.5.channel=72
+unit.2.0.waveform.posn.5.name=IDSEL
 unit.2.0.waveform.posn.5.radix=1
-unit.2.0.waveform.posn.5.type=bus
-unit.2.0.waveform.posn.6.channel=1
-unit.2.0.waveform.posn.6.name=R_Empty
+unit.2.0.waveform.posn.5.type=signal
+unit.2.0.waveform.posn.6.channel=73
+unit.2.0.waveform.posn.6.name=TRDYn
 unit.2.0.waveform.posn.6.radix=1
 unit.2.0.waveform.posn.6.type=signal
-unit.2.0.waveform.posn.7.channel=2
-unit.2.0.waveform.posn.7.name=R_Half
+unit.2.0.waveform.posn.7.channel=74
+unit.2.0.waveform.posn.7.name=IRDYn
 unit.2.0.waveform.posn.7.radix=1
 unit.2.0.waveform.posn.7.type=signal
-unit.2.0.waveform.posn.8.channel=3
-unit.2.0.waveform.posn.8.name=R_Full
+unit.2.0.waveform.posn.8.channel=75
+unit.2.0.waveform.posn.8.name=STOPn
 unit.2.0.waveform.posn.8.radix=1
 unit.2.0.waveform.posn.8.type=signal
-unit.2.0.waveform.posn.9.channel=4
-unit.2.0.waveform.posn.9.name=R_READ
+unit.2.0.waveform.posn.9.channel=76
+unit.2.0.waveform.posn.9.name=SERRn
 unit.2.0.waveform.posn.9.radix=1
 unit.2.0.waveform.posn.9.type=signal
Impressum, Datenschutz