]> git.zerfleddert.de Git - raggedstone/blobdiff - heartbeat/source/heartbeat.vhd
Make Heartbeat work
[raggedstone] / heartbeat / source / heartbeat.vhd
index 76f084d8feaa4ce72a00a338ab68a53a184e2e6d..6938e3fd728284888fb00e38c55cd28da4370c28 100644 (file)
@@ -5,7 +5,7 @@ use ieee.std_logic_unsigned.all;
 
 entity heartbeat is
 generic (
-       divider : integer := 33000000
+       divider : std_logic_vector(31 downto 0) := X"01F78A40"
 );
 
 port (
@@ -32,6 +32,7 @@ if (clk_i'event AND clk_i = '1') then
                counter := counter + 1;
                if counter = divider then
                        state := not state;
+                       counter := (others => '0');
                end if;
        end if;
 end if;
Impressum, Datenschutz