]> git.zerfleddert.de Git - raggedstone/blobdiff - ethernet/source/top.vhd
a bit better
[raggedstone] / ethernet / source / top.vhd
index c031ae6f46540bd42c64d38f678e0f91e900030b..1617eee849ab9d826f2c772d6e921d5bd7122aae 100644 (file)
@@ -32,6 +32,8 @@ PORT(
         MD_PAD_IO : INOUT std_logic;
         MDC_PAD_O : OUT std_logic;
 
+       PHY_CLOCK : OUT std_logic;
+
        LED_2 : OUT std_logic
 );
 end ethernet;
@@ -169,6 +171,14 @@ port (
        );
 end component;
 
+component phydcm is
+port ( CLKIN_IN        : in    std_logic;
+       RST_IN          : in    std_logic;
+       CLKFX_OUT       : out   std_logic;
+       CLK0_OUT        : out   std_logic;
+       LOCKED_OUT      : out   std_logic);
+end component;
+
 signal pci_rst_o : std_logic;
 signal pci_rst_oe_o : std_logic;
 signal pci_inta_o : std_logic;
@@ -391,4 +401,13 @@ port map (
        trig0 => trig0
        );
 
+eth_dcm : phydcm
+port map (
+       CLKIN_IN => PCI_CLOCK,
+       RST_IN => not PCI_RSTn,
+       CLKFX_OUT => PHY_CLOCK,
+       CLK0_OUT => open,
+       LOCKED_OUT => open
+       );
+
 end architecture ethernet_arch;
Impressum, Datenschutz