]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/top.vhd
other watched signals
[raggedstone] / dhwk / source / top.vhd
index dda8be16ac73559b5083c628932c8353870ee809..e2f307c0e6266ff027978c13b07d9ba352ff5594 100644 (file)
@@ -92,7 +92,7 @@ architecture SCHEMATIC of dhwk is
    signal SPC_RDY_OUT : std_logic;\r
    signal watch : std_logic;\r
    signal control0       : std_logic_vector(35 downto 0);\r
-   signal data       : std_logic_vector(63 downto 0);\r
+   signal data       : std_logic_vector(35 downto 0);\r
    signal trig0      : std_logic_vector(7 downto 0);\r
 \r
    component MESS_1_TB\r
@@ -169,6 +169,7 @@ architecture SCHEMATIC of dhwk is
              SERIAL_OUT : Out   std_logic;\r
              SPC_RDY_OUT : Out   std_logic;\r
              SR_ERROR : Out   std_logic;\r
+            PAR_SER_IN : Out std_logic_vector (7 downto 0);\r
              SYNC_FLAG : Out   std_logic_vector (7 downto 0) );\r
    end component;\r
 \r
@@ -236,7 +237,7 @@ end component;
     (\r
       control     : in    std_logic_vector(35 downto 0);\r
       clk         : in    std_logic;\r
-      data        : in    std_logic_vector(63 downto 0);\r
+      data        : in    std_logic_vector(35 downto 0);\r
       trig0       : in    std_logic_vector(7 downto 0)\r
     );\r
   end component;\r
@@ -250,28 +251,27 @@ begin
        LED_4 <= '0';\r
        LED_5 <= not watch;\r
        PCI_INTAn <= watch;\r
-       trig0(7 downto 0) <= (others => '0');\r
-       data(31 downto 0) <= PCI_AD(31 downto 0);\r
-       data(32) <= watch;\r
+       trig0(7 downto 0) <= (0 => watch, others => '0');\r
+       data(0) <= watch;\r
        \r
-       data(33) <= R_EFn;\r
-       data(34) <= R_HFn;\r
-       data(35) <= R_FFn;\r
-       data(36) <= R_FIFO_READn;\r
-       data(37) <= R_FIFO_RESETn;\r
-       data(38) <= R_FIFO_RTn;\r
-       data(39) <= R_FIFO_WRITEn;\r
-       data(40) <= S_EFn;\r
-       data(41) <= S_HFn;\r
-       data(42) <= S_FFn;\r
-       data(43) <= S_FIFO_READn;\r
-       data(44) <= S_FIFO_RESETn;\r
-       data(45) <= S_FIFO_RTn;\r
-       data(46) <= S_FIFO_WRITEn;\r
-       data(47) <= SERIAL_IN;\r
-       data(48) <= SPC_RDY_IN;\r
-       data(49) <= SERIAL_OUT;\r
-       data(50) <= SPC_RDY_OUT;\r
+       data(1) <= R_EFn;\r
+       data(2) <= R_HFn;\r
+       data(3) <= R_FFn;\r
+       data(4) <= R_FIFO_READn;\r
+       data(5) <= R_FIFO_RESETn;\r
+       data(6) <= R_FIFO_RTn;\r
+       data(7) <= R_FIFO_WRITEn;\r
+       data(8) <= S_EFn;\r
+       data(9) <= S_HFn;\r
+       data(10) <= S_FFn;\r
+       data(11) <= S_FIFO_READn;\r
+       data(12) <= S_FIFO_RESETn;\r
+       data(13) <= S_FIFO_RTn;\r
+       data(14) <= S_FIFO_WRITEn;\r
+       data(15) <= SERIAL_IN;\r
+       data(16) <= SPC_RDY_IN;\r
+       data(17) <= SERIAL_OUT;\r
+       data(18) <= SPC_RDY_OUT;\r
 \r
    I19 : MESS_1_TB\r
       Port Map ( DEVSELn=>DEVSELn, INTAn=>INTAn, KONST_1=>KONST_1,\r
@@ -313,6 +313,7 @@ begin
                  S_FIFO_RETRANSMITn=>S_FIFO_RTn,\r
                  S_FIFO_WRITEn=>S_FIFO_WRITEn, SERIAL_OUT=>SERIAL_OUT,\r
                  SPC_RDY_OUT=>SPC_RDY_OUT, SR_ERROR=>SR_ERROR,\r
+                PAR_SER_IN(7 downto 0)=>data(26 downto 19),\r
                  SYNC_FLAG(7 downto 0)=>SYNC_FLAG(7 downto 0) );\r
    I1 : PCI_TOP\r
       Port Map ( FLAG(7 downto 0)=>SYNC_FLAG(7 downto 0),\r
Impressum, Datenschutz