]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/config_08h.vhd
move, ident
[raggedstone] / dhwk / source / config_08h.vhd
index b400eabaefcf316dbae8cb3a4a1670cbe7625dd8..fe9e6c456a3d14d94bb39a5429159ef732e4cb34 100644 (file)
@@ -1,28 +1,28 @@
--- J.STELZNER\r
--- INFORMATIK-3 LABOR\r
--- 23.08.2006\r
--- File: CONFIG_08H.VHD\r
-\r
-library IEEE;\r
-use IEEE.std_logic_1164.all;\r
-\r
-entity CONFIG_08H is\r
-       port\r
-       (\r
-       REVISION_ID             :in             std_logic_vector ( 7 downto 0);\r
-       CONF_DATA_08H   :out    std_logic_vector (31 downto 0)\r
-       );\r
-end entity CONFIG_08H;\r
-\r
-architecture CONFIG_08H_DESIGN of CONFIG_08H is\r
-\r
--- PCI Configuration Space Header Addr : HEX 08 --\r
-\r
-       constant        CONF_CLASS_CODE         :std_logic_vector (31 downto  8) := X"078000";--other comm. device              \r
---constant     CONF_REVISION_ID        :std_logic_vector ( 7 downto  0) := X"00";                      \r
-\r
-begin\r
-\r
-       CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID;\r
-\r
-end architecture CONFIG_08H_DESIGN;\r
+-- J.STELZNER
+-- INFORMATIK-3 LABOR
+-- 23.08.2006
+-- File: CONFIG_08H.VHD
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity CONFIG_08H is
+       port
+       (
+       REVISION_ID             :in             std_logic_vector ( 7 downto 0);
+       CONF_DATA_08H   :out    std_logic_vector (31 downto 0)
+       );
+end entity CONFIG_08H;
+
+architecture CONFIG_08H_DESIGN of CONFIG_08H is
+
+-- PCI Configuration Space Header Addr : HEX 08 --
+
+       constant        CONF_CLASS_CODE         :std_logic_vector (31 downto  8) := X"078000";--other comm. device              
+--constant     CONF_REVISION_ID        :std_logic_vector ( 7 downto  0) := X"00";                      
+
+begin
+
+       CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID;
+
+end architecture CONFIG_08H_DESIGN;
Impressum, Datenschutz