]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/dhwk.cpj
add vio to trigger an interrupt from chipscope (doesn't work currently, but
[raggedstone] / dhwk / dhwk.cpj
index f093432bf12215f23be7ae8701c6cf71d323150b..b145d9ca68f5a3b9654a3393e7f6ef4ef2fbde30 100644 (file)
@@ -1,5 +1,5 @@
 #ChipScope Pro Analyzer Project File, Version 3.0
 #ChipScope Pro Analyzer Project File, Version 3.0
-#Sun Mar 11 14:28:36 GMT+01:00 2007
+#Sun Mar 11 16:28:31 GMT+01:00 2007
 deviceChain.deviceName0=XCF02S
 deviceChain.deviceName1=XCF04S
 deviceChain.deviceName2=XC3S1500
 deviceChain.deviceName0=XCF02S
 deviceChain.deviceName1=XCF04S
 deviceChain.deviceName2=XC3S1500
@@ -10,8 +10,8 @@ deviceChain.name0=MyDevice0
 deviceChain.name1=MyDevice1
 deviceChain.name2=MyDevice2
 deviceIds=050450930504609301434093
 deviceChain.name1=MyDevice1
 deviceChain.name2=MyDevice2
 deviceIds=050450930504609301434093
-mdiAreaHeight=0.8178654292343387
-mdiAreaHeightLast=0.8538283062645011
+mdiAreaHeight=0.8251173708920188
+mdiAreaHeightLast=0.8615023474178404
 mdiCount=2
 mdiDevice0=2
 mdiDevice1=2
 mdiCount=2
 mdiDevice0=2
 mdiDevice1=2
@@ -19,22 +19,22 @@ mdiType0=1
 mdiType1=0
 mdiUnit0=0
 mdiUnit1=0
 mdiType1=0
 mdiUnit0=0
 mdiUnit1=0
-navigatorHeight=0.16821345707656613
-navigatorHeightLast=0.16821345707656613
-navigatorWidth=0.17701863354037267
-navigatorWidthLast=0.17701863354037267
+navigatorHeight=0.16901408450704225
+navigatorHeightLast=0.16901408450704225
+navigatorWidth=0.1786407766990291
+navigatorWidthLast=0.1786407766990291
 unit.-1.-1.username=
 unit.-1.-1.username=
-unit.2.0.0.HEIGHT0=0.2934473
+unit.2.0.0.HEIGHT0=0.2942857
 unit.2.0.0.TriggerRow0=1
 unit.2.0.0.TriggerRow1=1
 unit.2.0.0.TriggerRow2=1
 unit.2.0.0.TriggerRow0=1
 unit.2.0.0.TriggerRow1=1
 unit.2.0.0.TriggerRow2=1
-unit.2.0.0.WIDTH0=0.9974457
+unit.2.0.0.WIDTH0=0.9976019
 unit.2.0.0.X0=0.0
 unit.2.0.0.Y0=0.0
 unit.2.0.0.X0=0.0
 unit.2.0.0.Y0=0.0
-unit.2.0.1.HEIGHT1=0.71652424
-unit.2.0.1.WIDTH1=0.99872285
-unit.2.0.1.X1=-0.0012771392
-unit.2.0.1.Y1=0.28490028
+unit.2.0.1.HEIGHT1=0.7171429
+unit.2.0.1.WIDTH1=0.99880093
+unit.2.0.1.X1=-0.0011990408
+unit.2.0.1.Y1=0.28428572
 unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 unit.2.0.MFBitsB0=00000000000000000000000000000000
 unit.2.0.MFCompareA0=0
 unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 unit.2.0.MFBitsB0=00000000000000000000000000000000
 unit.2.0.MFCompareA0=0
@@ -971,3 +971,32 @@ unit.2.0.waveform.posn.9.channel=76
 unit.2.0.waveform.posn.9.name=SERRn
 unit.2.0.waveform.posn.9.radix=1
 unit.2.0.waveform.posn.9.type=signal
 unit.2.0.waveform.posn.9.name=SERRn
 unit.2.0.waveform.posn.9.radix=1
 unit.2.0.waveform.posn.9.type=signal
+unit.2.1.6.HEIGHT6=0.6142857
+unit.2.1.6.WIDTH6=0.9616307
+unit.2.1.6.X6=0.016786572
+unit.2.1.6.Y6=0.32714286
+unit.2.1.coretype=VIO
+unit.2.1.port.-1.buscount=0
+unit.2.1.port.-1.channelcount=0
+unit.2.1.port.0.buscount=0
+unit.2.1.port.0.channelcount=0
+unit.2.1.port.1.buscount=0
+unit.2.1.port.1.channelcount=1
+unit.2.1.port.1.s.0.alias=PCI_INTA
+unit.2.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
+unit.2.1.port.1.s.0.display=0
+unit.2.1.port.1.s.0.name=AsyncOut[0]
+unit.2.1.port.1.s.0.orderindex=-1
+unit.2.1.port.1.s.0.persistence=0
+unit.2.1.port.1.s.0.value=1
+unit.2.1.port.1.s.0.visible=1
+unit.2.1.port.2.buscount=0
+unit.2.1.port.2.channelcount=0
+unit.2.1.portcount=3
+unit.2.1.username=MyVIO1
+unit.2.1.vio.count=1
+unit.2.1.vio.posn.0.channel=0
+unit.2.1.vio.posn.0.name=PCI_INTA
+unit.2.1.vio.posn.0.port=1
+unit.2.1.vio.posn.0.type=signal
+unit.2.1.vio.readperiod=0
Impressum, Datenschutz