]> git.zerfleddert.de Git - raggedstone/blobdiff - heartbeat/raggedstone.ucf
add shit
[raggedstone] / heartbeat / raggedstone.ucf
index 483a19f91f9fbe4cfa2bd46eaad447d3aeab9749..4cfac3d78e9fcfbc563ea2a2d470592cbaa6a25d 100644 (file)
@@ -1,16 +1,5 @@
-NET "DISP_LED<0>"  LOC = "AB20" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<1>"  LOC = "AA20" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<2>"  LOC = "V18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<3>"  LOC = "Y17" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<4>"  LOC = "AB18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<5>"  LOC = "AA18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_LED<6>"  LOC = "W18" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<0>"  LOC = "AA17" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<1>"  LOC = "U17" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<2>"  LOC = "U16" | IOSTANDARD = LVCMOS33 ;
-NET "DISP_SEL<3>"  LOC = "U14" | IOSTANDARD = LVCMOS33 ;
-NET "LED_ACCESS"  LOC = "AB5" | IOSTANDARD = LVCMOS33 ;
-NET "LED_INIT"  LOC = "AA5" | IOSTANDARD = LVCMOS33 ;
+NET "LED2"  LOC = "AB5" | IOSTANDARD = LVCMOS33 ;
+NET "LED3"  LOC = "AA5" | IOSTANDARD = LVCMOS33 ;
 NET "PCI_AD<0>"  LOC = "A5" | IOSTANDARD = PCI33_3 ;
 NET "PCI_AD<10>"  LOC = "E9" | IOSTANDARD = PCI33_3 ;
 NET "PCI_AD<11>"  LOC = "F11" | IOSTANDARD = PCI33_3 ;
@@ -47,7 +36,8 @@ NET "PCI_CBE<0>"  LOC = "F9" | IOSTANDARD = PCI33_3 ;
 NET "PCI_CBE<1>"  LOC = "C10" | IOSTANDARD = PCI33_3 ;
 NET "PCI_CBE<2>"  LOC = "D13" | IOSTANDARD = PCI33_3 ;
 NET "PCI_CBE<3>"  LOC = "E13" | IOSTANDARD = PCI33_3 ;
-NET "PCI_CLK"  LOC = "A11" | IOSTANDARD = PCI33_3 ;
+#NET "PCI_CLK"  LOC = "A11" | IOSTANDARD = PCI33_3 ;
+NET "PCI_CLK"  LOC = "AA11" | IOSTANDARD = PCI33_3 ;
 NET "PCI_IDSEL"  LOC = "D14" | IOSTANDARD = PCI33_3 ;
 NET "PCI_nDEVSEL"  LOC = "E12" | IOSTANDARD = PCI33_3 ;
 NET "PCI_nFRAME"  LOC = "C13" | IOSTANDARD = PCI33_3 ;
@@ -59,10 +49,10 @@ NET "PCI_nSERR"  LOC = "B12" | IOSTANDARD = PCI33_3  | SLEW = FAST ;
 NET "PCI_nSTOP"  LOC = "A12" | IOSTANDARD = PCI33_3  | SLEW = FAST ;
 NET "PCI_nTRDY"  LOC = "B13" | IOSTANDARD = PCI33_3  | SLEW = FAST ;
 NET "PCI_PAR"  LOC = "A9" | IOSTANDARD = PCI33_3  | SLEW = FAST ;
-NET "LED_ALIVE"  LOC = "AB4" | IOSTANDARD = LVCMOS33 ;
-NET "mclk"  LOC = "E22";
-NET "red"  LOC = "E21";
-NET "grn"  LOC = "F21";
-NET "blu"  LOC = "F20";
-NET "hs"  LOC = "F19";
-NET "vs"  LOC = "G19";
+NET "PCI_nREQ"  LOC = "C18" | IOSTANDARD = PCI33_3 | SLEW = FAST ;
+NET "LED5"  LOC = "AB4" | IOSTANDARD = LVCMOS33 ;
+NET "LED4"  LOC = "AA4" | IOSTANDARD = LVCMOS33 ;
+#NET "IDE1"  LOC = "Y1" | IOSTANDARD = LVCMOS33 ;
+#NET "IDE2"  LOC = "M6" | IOSTANDARD = LVCMOS33 ;
+#NET "IDE3"  LOC = "M5" | IOSTANDARD = LVCMOS33 ;
+#NET "IDE4"  LOC = "U2" | IOSTANDARD = LVCMOS33 ;
Impressum, Datenschutz