]> git.zerfleddert.de Git - raggedstone/blobdiff - heartbeat/Makefile
Make Heartbeat work
[raggedstone] / heartbeat / Makefile
index b0ba87c1c193ada3b5be37ac1e74e43f4ff9ce0c..4c6ffc7eb6939cc6a94f6e9e28fb5785ee533fe2 100644 (file)
@@ -7,7 +7,7 @@ $(shell mkdir tmp)
 
 PROJECT := pci_7seg
 
-all: xst ngdbuild map par trace prom mcs final
+all: xst ngdbuild map par trace prom final
 
 log:
        time make all &>build.log
@@ -19,7 +19,7 @@ ngdbuild: $(PROJECT).ngc $(PROJECT).ngd
 $(PROJECT).ngc:
        @# echo synclib > $(PROJECT).lso # hmm. things are different in ise 9.1
        echo work > $(PROJECT).lso
-       xst -intstyle ise -ifn $(PROJECT).xst -ofn $(PROJECT).syr &> tmp/build.xst.log
+       xst -intstyle ise -ifn $(PROJECT).xst -ofn $(PROJECT).syr
        #cat $(PROJECT).syr
        mv $(PROJECT).syr $(TMP)
        mv $(PROJECT).ngr $(PROJECT).lso $(TMP)
@@ -77,3 +77,6 @@ clean:
        rm -rf _ngo xst 
        rm -rf build.log
        rm -rf $(PROJECT).unroutes *.xml
+
+load:
+       impact -batch xc3s1500.batch
Impressum, Datenschutz