]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk_old/source/top_dhwk.vhd
-dpram component
[raggedstone] / dhwk_old / source / top_dhwk.vhd
index 841f82ad751ef1a9a6fe9cf21a22e4c29e1d139b..0e03a31b223e9ce5216ccf579b7f4b54d38b50fe 100644 (file)
@@ -1,43 +1,8 @@
---+-------------------------------------------------------------------------------------------------+\r
---|                                                                                                                                                                                                    |\r
---|  File:                     top.vhd                                                                         |\r
---|                                                                                                                                                                                                    |\r
---|  Components:       pci32lite.vhd                                                                   |\r
---|                        pciwbsequ.vhd                                                                                                                       |\r
---|                        pcidmux.vhd                                                                                                                                 |\r
---|                        pciregs.vhd                                                                                                                                 |\r
---|                        pcipargen.vhd                                                                                                                               |\r
---|                        -- Libs --                                                                                                                                  |\r
---|                        ona.vhd                                                                                                                                             |\r
---|                                                                                                                                                                                                    |\r
---|     Description:   RS1 PCI Demo : (TOP) Main file.                                                                                 |\r
---|                                                                                                                                                                                            |\r
---|                                                                                                                                                                            |\r
---|                                                                                                                                                                                                    |\r
---+-------------------------------------------------------------------------------------------------+\r
---|                                                                                                                                                                                                    |\r
---|  Revision history :                                                                                                                                                                |\r
---|  Date                Version       Author  Description                                                                                                             |\r
---|                                                                                                                                                                                                    |\r
---|                                                                                                                                                                                                    |\r
---|  To do:                                                                                                                                                                                    |\r
---|                                                                                                                                                                                                    |\r
---+-------------------------------------------------------------------------------------------------+\r
-\r
-\r
---+-----------------------------------------------------------------------------+\r
---|                                                                    LIBRARIES                                                                       |\r
---+-----------------------------------------------------------------------------+\r
-\r
 library ieee;\r
 use ieee.std_logic_1164.all;\r
 use ieee.std_logic_arith.all;\r
 use ieee.std_logic_unsigned.all;\r
 \r
 library ieee;\r
 use ieee.std_logic_1164.all;\r
 use ieee.std_logic_arith.all;\r
 use ieee.std_logic_unsigned.all;\r
 \r
---+-----------------------------------------------------------------------------+\r
---|                                                                    ENTITY                                                                          |\r
---+-----------------------------------------------------------------------------+\r
-\r
 entity dhwk is\r
 port (\r
 \r
 entity dhwk is\r
 port (\r
 \r
@@ -69,17 +34,9 @@ port (
 end dhwk;\r
 \r
 \r
 end dhwk;\r
 \r
 \r
---+-----------------------------------------------------------------------------+\r
---|                                                                    ARCHITECTURE                                                            |\r
---+-----------------------------------------------------------------------------+\r
-\r
 architecture dhwk_arch of dhwk is\r
 \r
 \r
 architecture dhwk_arch of dhwk is\r
 \r
 \r
---+-----------------------------------------------------------------------------+\r
---|                                                                    COMPONENTS                                                                      |\r
---+-----------------------------------------------------------------------------+\r
-\r
 component pci32tlite\r
 port (\r
 \r
 component pci32tlite\r
 port (\r
 \r
@@ -152,49 +109,20 @@ port (
 );\r
 end component;\r
 \r
 );\r
 end component;\r
 \r
-component generic_dpram\r
-port (\r
-       rclk            : in std_logic;\r
-       rrst            : in std_logic;\r
-       rce             : in std_logic;\r
-       oe              : in std_logic;\r
-       raddr           : in std_logic_vector(11 downto 0);\r
-       do              : out std_logic_vector(7 downto 0);\r
-       wclk            : in std_logic;\r
-       wrst            : in std_logic;\r
-       wce             : in std_logic;\r
-       we              : in std_logic;\r
-       waddr           : in std_logic_vector(11 downto 0);\r
-       di              : in std_logic_vector(7 downto 0);\r
-);\r
-end component;\r
-\r
-\r
---+-----------------------------------------------------------------------------+\r
---|                                                                    CONSTANTS                                                                       |\r
---+-----------------------------------------------------------------------------+\r
---+-----------------------------------------------------------------------------+\r
---|                                                                    SIGNALS                                                                         |\r
---+-----------------------------------------------------------------------------+\r
-\r
-       signal  wb_adr :                std_logic_vector(24 downto 1);   \r
-       signal  wb_dat_out :    std_logic_vector(15 downto 0);\r
-       signal  wb_dat_in :             std_logic_vector(15 downto 0);\r
-       signal  wb_sel :                std_logic_vector(1 downto 0);\r
-       signal  wb_we :                 std_logic;\r
-       signal  wb_stb :                std_logic;\r
-       signal  wb_cyc :                std_logic;\r
-       signal  wb_ack :                std_logic;\r
-       signal  wb_err :                std_logic;\r
-       signal  wb_int :                std_logic;\r
+signal         wb_adr :                std_logic_vector(24 downto 1);   \r
+signal wb_dat_out :    std_logic_vector(15 downto 0);\r
+signal         wb_dat_in :             std_logic_vector(15 downto 0);\r
+signal wb_sel :                std_logic_vector(1 downto 0);\r
+signal  wb_we :                        std_logic;\r
+signal wb_stb :                std_logic;\r
+signal wb_cyc :                std_logic;\r
+signal wb_ack :                std_logic;\r
+signal wb_err :                std_logic;\r
+signal wb_int :                std_logic;\r
 \r
 \r
 begin\r
 \r
 \r
 \r
 begin\r
 \r
---+-----------------------------------------+\r
---|  PCI Target                                                        |\r
---+-----------------------------------------+\r
-\r
 u_pci: component pci32tlite\r
 port map(\r
        clk33 =>                PCI_CLK,\r
 u_pci: component pci32tlite\r
 port map(\r
        clk33 =>                PCI_CLK,\r
@@ -225,10 +153,6 @@ port map(
 --             debug_access => LED2\r
                );\r
 \r
 --             debug_access => LED2\r
                );\r
 \r
---+-----------------------------------------+\r
---|  WB-7seg                                                   |\r
---+-----------------------------------------+\r
-\r
 my_heartbeat: component heartbeat\r
 port map( \r
        clk_i => PCI_CLK,\r
 my_heartbeat: component heartbeat\r
 port map( \r
        clk_i => PCI_CLK,\r
Impressum, Datenschutz