]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/ser_par_con.vhd
perl -p -i -e "s/PCI_CLOCK'event and PCI_CLOCK = '1'/rising_edge(PCI_CLOCK)/" *.vhd
[raggedstone] / dhwk / source / ser_par_con.vhd
index f0b7896b6d3c13bf544386a2905e4f95a3d9be4c..6c45577a523436f3c3dbef4e37d4a19a1b83786a 100644 (file)
@@ -1,4 +1,4 @@
--- $Id: ser_par_con.vhd,v 1.2 2007-03-11 09:14:58 sithglan Exp $
+-- $Id: ser_par_con.vhd,v 1.4 2007-03-11 13:23:11 sithglan Exp $
 
 library ieee;
 use ieee.std_logic_1164.all;
 
 library ieee;
 use ieee.std_logic_1164.all;
Impressum, Datenschutz