]> git.zerfleddert.de Git - raggedstone/blobdiff - dhwk/source/config_00h.vhd
dos2unix *.vhd
[raggedstone] / dhwk / source / config_00h.vhd
index 0346aebac03047add609be4c693b09e5db7d3f10..a0c6ea4fe7775d1e378f8f6b36aab9edd29ab1a2 100644 (file)
@@ -1,28 +1,28 @@
--- J.STELZNER\r
--- INFORMATIK-3 LABOR\r
--- 23.08.2006\r
--- File: CONFIG_00H.VHD\r
-\r
-library IEEE;\r
-use IEEE.std_logic_1164.all;\r
-\r
-entity CONFIG_00H is\r
-       port\r
-       (\r
-       VENDOR_ID                       :in             std_logic_vector (15 downto 0);\r
-       CONF_DATA_00H   :out    std_logic_vector (31 downto 0)\r
-       );\r
-end entity CONFIG_00H;\r
-\r
-architecture CONFIG_00H_DESIGN of CONFIG_00H is\r
-\r
--- PCI Configuration Space Header Addr : HEX 00 --\r
-\r
-       constant        CONF_DEVICE_ID          :std_logic_vector(31 downto 16) := X"AFFE";--???? \r
---constant     CONF_VENDOR_ID          :std_logic_vector(15 downto  0) := X"BAFF";--???? \r
-\r
-begin\r
-\r
-       CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;\r
-\r
-end architecture CONFIG_00H_DESIGN;\r
+-- J.STELZNER
+-- INFORMATIK-3 LABOR
+-- 23.08.2006
+-- File: CONFIG_00H.VHD
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity CONFIG_00H is
+       port
+       (
+       VENDOR_ID                       :in             std_logic_vector (15 downto 0);
+       CONF_DATA_00H   :out    std_logic_vector (31 downto 0)
+       );
+end entity CONFIG_00H;
+
+architecture CONFIG_00H_DESIGN of CONFIG_00H is
+
+-- PCI Configuration Space Header Addr : HEX 00 --
+
+       constant        CONF_DEVICE_ID          :std_logic_vector(31 downto 16) := X"AFFE";--???? 
+--constant     CONF_VENDOR_ID          :std_logic_vector(15 downto  0) := X"BAFF";--???? 
+
+begin
+
+       CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID;
+
+end architecture CONFIG_00H_DESIGN;
Impressum, Datenschutz