]> git.zerfleddert.de Git - raggedstone/blobdiff - heartbeat/Makefile
don't rm -rf but rm -f, I go sleep.
[raggedstone] / heartbeat / Makefile
index 66afb83751ee96c13bd1910b35d4a5a467718ebb..44af9b93bfb20426e45dceb4af51ec3de898310b 100644 (file)
@@ -1,11 +1,8 @@
 PWD := $(shell pwd)
 
 PWD := $(shell pwd)
 
-XST := $(shell which xst)
+INTSTYLE := silent
 
 
-TMP = tmp/
-$(shell mkdir tmp)
-
-PROJECT := pci_7seg
+PROJECT := raggedstone
 
 all: xst ngdbuild map par trace prom final
 
 
 all: xst ngdbuild map par trace prom final
 
@@ -14,72 +11,55 @@ log:
 
 xst: $(PROJECT).ngc
 
 
 xst: $(PROJECT).ngc
 
-ngdbuild: $(PROJECT).ngc $(PROJECT).ngd
+ngdbuild: $(PROJECT).ngd
 
 $(PROJECT).ngc:
        @# echo synclib > $(PROJECT).lso # hmm. things are different in ise 9.1
        echo work > $(PROJECT).lso
 
 $(PROJECT).ngc:
        @# echo synclib > $(PROJECT).lso # hmm. things are different in ise 9.1
        echo work > $(PROJECT).lso
-       xst -intstyle ise -ifn $(PROJECT).xst -ofn $(PROJECT).syr
-       #cat $(PROJECT).syr
-       mv $(PROJECT).syr $(TMP)
-       mv $(PROJECT).ngr $(PROJECT).lso $(TMP)
-       mv xst $(TMP)
+       xst -intstyle $(INTSTYLE) -ifn $(PROJECT).xst -ofn $(PROJECT).syr
+       @#cat $(PROJECT).syr
 
 
-$(PROJECT).ngd:
-       ngdbuild -intstyle ise -dd "$(PWD)/_ngo" -nt timestamp -uc $(PROJECT).ucf  -p xc3s1500-fg456-4 $(PROJECT).ngc $(PROJECT).ngd &> tmp/build.ngdbuild.log
-       mv $(PROJECT).bld $(TMP)
-       mv _ngo  $(TMP)
+$(PROJECT).ngd: $(PROJECT).ngc
+       ngdbuild -intstyle $(INTSTYLE) -dd "$(PWD)/_ngo" -nt timestamp -uc $(PROJECT).ucf  -p xc3s1500-fg456-4 $(PROJECT).ngc $(PROJECT).ngd
 
 map:
 
 map:
-       map -intstyle ise -p xc3s1500-fg456-4 -cm area -pr b -k 4 -c 100 -o $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf &> tmp/build.map.log
-       mv $(PROJECT)_map.mrp $(PROJECT)_map.ngm $(PROJECT).ngc $(TMP)
+       map -intstyle $(INTSTYLE) -p xc3s1500-fg456-4 -cm area -pr b -k 4 -c 100 -o $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf
 
 par:
 
 par:
-       @#par -w -intstyle ise -ol std -n 4 -t 1 $(PROJECT)_map.ncd $(PROJECT).dir $(PROJECT).pcf &> tmp/build.par.log
-       par -w -intstyle ise -ol std -t 1 $(PROJECT)_map.ncd $(PROJECT).ncd $(PROJECT).pcf &> tmp/build.par.log
-       mv $(PROJECT).xpi $(PROJECT).par $(PROJECT).pad $(TMP)
-       mv $(PROJECT)_pad.csv $(PROJECT)_pad.txt $(TMP)
+       @#par -w -intstyle $(INTSTYLE) -ol std -n 4 -t 1 $(PROJECT)_map.ncd $(PROJECT).dir $(PROJECT).pcf
+       par -w -intstyle $(INTSTYLE) -ol std -t 1 $(PROJECT)_map.ncd $(PROJECT).ncd $(PROJECT).pcf
 
 trace:
 
 trace:
-       trce -intstyle ise -e 3 -l 3 -s 4 -xml $(PROJECT) $(PROJECT).ncd -o $(PROJECT).twr $(PROJECT).pcf &> tmp/build.trce.log
-       #cat $(PROJECT).twr
-       mv $(PROJECT).twr $(TMP)
-       mv $(PROJECT).twx $(TMP)
-       mv $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf $(TMP)
+       trce -intstyle $(INTSTYLE) -e 3 -l 3 -s 4 -xml $(PROJECT) $(PROJECT).ncd -o $(PROJECT).twr $(PROJECT).pcf
+       @#cat $(PROJECT).twr
 
 prom:
 
 prom:
-       bitgen -intstyle ise -f $(PROJECT).ut $(PROJECT).ncd &> tmp/build.bitgen.log
-       # cp $(PROJECT).bit ../jcarr_last.bit
-       #cat $(PROJECT).drc
-       mv $(PROJECT).drc  $(TMP)
-       #cat $(PROJECT).bgn
-       mv $(PROJECT).bgn  $(TMP)
+       bitgen -intstyle $(INTSTYLE) -f $(PROJECT).ut $(PROJECT).ncd
+       @# cp $(PROJECT).bit ../jcarr_last.bit
+       @#cat $(PROJECT).drc
+       @#cat $(PROJECT).bgn
 
 mcs:
 
 mcs:
-       promgen -w -p mcs -u 0 $(PROJECT) -o pci1 pci2 -x xcf02s xcf04s
+       promgen -intstyle $(INTSTYLE) -w -p mcs -u 0 $(PROJECT) -o pci1 pci2 -x xcf02s xcf04s
 
 final:
 
 final:
-       -mv $(PROJECT).unroutes *.xml $(TMP)
-       -mv $(PROJECT)*.map $(TMP)
-       -mv $(PROJECT).ncd $(TMP)
-       -grep -A 8 -B 1 ^Selected\ Device tmp/build.xst.log
-       -grep -A 8 -B 1 ^Timing\ Summary tmp/build.xst.log
-       -grep -A 21 -B 1 ^Design\ Summary tmp/build.map.log
+       -@grep -A 8 -B 1 ^Selected\ Device $(PROJECT).syr
+       -@grep -A 8 -B 1 ^Timing\ Summary $(PROJECT).syr
+       -@grep -A 21 -B 1 ^Design\ Summary $(PROJECT)_map.map
 
 burn:
        xc3sprog $(PROJECT).bit
 
 
 burn:
        xc3sprog $(PROJECT).bit
 
-clean:
-       rm -rf $(TMP)
-       rm -rf *.bit *.bgn *.mcs *.prm *.bld *.drc *.mcs *.ncd *.ngc *.ngd
-       rm -rf *.ngr *.pad *.par *.pcf *.prm *.syr *.twr *.twx *.xpi *.lso *.prm *.mcs _impact*
-       rm -rf $(PROJECT)_map.* $(PROJECT)_pad.*
-       rm -rf _ngo xst 
-       rm -rf build.log
-       rm -rf $(PROJECT).unroutes *.xml
-
 load:
        impact -batch xc3s1500.batch
 
 flash: mcs
        impact -batch xcf.batch
 load:
        impact -batch xc3s1500.batch
 
 flash: mcs
        impact -batch xcf.batch
+
+clean:
+       rm -f *.bit *.bgn *.mcs *.prm *.bld *.drc *.mcs *.ncd *.ngc *.ngd
+       rm -f *.ngr *.pad *.par *.pcf *.prm *.syr *.twr *.twx *.xpi *.lso *.prm *.mcs _impact*
+       rm -f $(PROJECT)_map.* $(PROJECT)_pad.*
+       rm -f _ngo xst 
+       rm -f build.log
+       rm -f $(PROJECT).unroutes *.xml
Impressum, Datenschutz