X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/08ef7f18c4131c6a5a61948a3a5543c6d9cb89b0..078adaa6dde598b83d746458dd7140f567223e6a:/dhwk/source/top.vhd diff --git a/dhwk/source/top.vhd b/dhwk/source/top.vhd index 84f04dd..bf927d8 100644 --- a/dhwk/source/top.vhd +++ b/dhwk/source/top.vhd @@ -209,7 +209,7 @@ architecture SCHEMATIC of dhwk is WRITE_XX7_6 : Out std_logic ); end component; -component fifo_generator_v3_2 +component dhwk_fifo port ( clk: IN std_logic; din: IN std_logic_VECTOR(7 downto 0); @@ -364,7 +364,7 @@ begin WRITE_XX3_2=>WRITE_XX3_2, WRITE_XX5_4=>WRITE_XX5_4, WRITE_XX7_6=>WRITE_XX7_6 ); -receive_fifo : fifo_generator_v3_2 +receive_fifo : dhwk_fifo port map ( clk => PCI_CLOCK, din => R_FIFO_D_IN, @@ -376,7 +376,7 @@ receive_fifo : fifo_generator_v3_2 full => R_FFn, prog_full => R_HFn); -send_fifo : fifo_generator_v3_2 +send_fifo : dhwk_fifo port map ( clk => PCI_CLOCK, din => S_FIFO_D_IN,