X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/0b6ed0d89260ade25dc0c2dc9fb8aa154fabd6a1..30273618403fd6512926c89f999f97b4722e1709:/dhwk/source/pci/parity_4.vhd diff --git a/dhwk/source/pci/parity_4.vhd b/dhwk/source/pci/parity_4.vhd new file mode 100644 index 0000000..0942c26 --- /dev/null +++ b/dhwk/source/pci/parity_4.vhd @@ -0,0 +1,23 @@ +-- J.STELZNER +-- INFORMATIK-3 LABOR +-- 23.08.2006 +-- File: PARITY_4.VHD + +library ieee; +use ieee.std_logic_1164.all; + +entity PARITY_4 is + port + ( + PAR_IN :in std_logic_vector(3 downto 0); + PAR_OUT :out std_logic + ); +end entity PARITY_4; + +architecture PARITY_4_DESIGN of PARITY_4 is + +begin + + PAR_OUT <= PAR_IN(3) xor PAR_IN(2) xor PAR_IN(1) xor PAR_IN(0); + +end architecture PARITY_4_DESIGN;