X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/0b6ed0d89260ade25dc0c2dc9fb8aa154fabd6a1..30273618403fd6512926c89f999f97b4722e1709:/dhwk/source/ven_rev_id.vhd diff --git a/dhwk/source/ven_rev_id.vhd b/dhwk/source/ven_rev_id.vhd deleted file mode 100644 index ad90461..0000000 --- a/dhwk/source/ven_rev_id.vhd +++ /dev/null @@ -1,24 +0,0 @@ --- J.STELZNER --- INFORMATIK-3 LABOR --- 23.08.2006 --- File: VEN_REV_ID.VHD - -library IEEE; -use IEEE.std_logic_1164.all; - -entity VEN_REV_ID is - port - ( - VEN_ID :out std_logic_vector(15 downto 0); - REV_ID :out std_logic_vector( 7 downto 0) - ); -end entity VEN_REV_ID; - -architecture VEN_REV_ID_DESIGN of VEN_REV_ID is - -begin - - VEN_ID <= X"2222"; - REV_ID <= X"01"; - -end architecture VEN_REV_ID_DESIGN;