X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/0b6ed0d89260ade25dc0c2dc9fb8aa154fabd6a1..30273618403fd6512926c89f999f97b4722e1709:/dhwk/source/verg_8.vhd diff --git a/dhwk/source/verg_8.vhd b/dhwk/source/verg_8.vhd deleted file mode 100644 index ea7a499..0000000 --- a/dhwk/source/verg_8.vhd +++ /dev/null @@ -1,27 +0,0 @@ --- J.STELZNER --- INFORMATIK-3 LABOR --- 23.08.2006 --- File: VERG_8.VHD - -library ieee; -use ieee.std_logic_1164.all; - -entity VERG_8 is - port - ( - GLEICH :in std_logic_vector(7 downto 0); - GLEICH_OUT :out std_logic - ); - -end entity VERG_8; - -architecture VERG_8_DESIGN of VERG_8 is - -begin - - -- GLEICH(0) nicht noetig. Addr-Bereich = 16 Byte - - -- GLEICH_OUT <= '1' when GLEICH(7 downto 0) = "11111111" else '0'; - GLEICH_OUT <= '1' when GLEICH(7 downto 1) = "1111111" else '0'; - -end architecture VERG_8_DESIGN;