X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/114b8f219f285141d24524c81fb640048a77c23c..7a6a1ff70fc526bd7f7feacdf2f25ee77f779260:/dhwk/dhwk.cpj diff --git a/dhwk/dhwk.cpj b/dhwk/dhwk.cpj index 9b11c9e..81928a1 100644 --- a/dhwk/dhwk.cpj +++ b/dhwk/dhwk.cpj @@ -1,5 +1,5 @@ #ChipScope Pro Analyzer Project File, Version 3.0 -#Sat Mar 10 20:16:30 GMT+01:00 2007 +#Mon Mar 12 00:15:32 GMT+01:00 2007 deviceChain.deviceName0=XCF02S deviceChain.deviceName1=XCF04S deviceChain.deviceName2=XC3S1500 @@ -10,31 +10,34 @@ deviceChain.name0=MyDevice0 deviceChain.name1=MyDevice1 deviceChain.name2=MyDevice2 deviceIds=050450930504609301434093 -mdiAreaHeight=0.7993890020366599 -mdiAreaHeightLast=0.7993890020366599 -mdiCount=2 +mdiAreaHeight=0.8411330049261084 +mdiAreaHeightLast=0.8780788177339901 +mdiCount=3 mdiDevice0=2 mdiDevice1=2 -mdiType0=0 +mdiDevice2=2 +mdiType0=6 mdiType1=1 -mdiUnit0=0 +mdiType2=0 +mdiUnit0=1 mdiUnit1=0 -navigatorHeight=0.17922606924643583 -navigatorHeightLast=0.17922606924643583 -navigatorWidth=0.17993079584775087 -navigatorWidthLast=0.17993079584775087 +mdiUnit2=0 +navigatorHeight=0.17118226600985223 +navigatorHeightLast=0.17118226600985223 +navigatorWidth=0.1816326530612245 +navigatorWidthLast=0.1816326530612245 unit.-1.-1.username= -unit.2.0.0.HEIGHT0=0.30818415 +unit.2.0.0.HEIGHT0=0.30294117 unit.2.0.0.TriggerRow0=1 unit.2.0.0.TriggerRow1=1 unit.2.0.0.TriggerRow2=1 -unit.2.0.0.WIDTH0=1.0 +unit.2.0.0.WIDTH0=0.99746835 unit.2.0.0.X0=0.0 unit.2.0.0.Y0=0.0 -unit.2.0.1.HEIGHT1=0.6956522 -unit.2.0.1.WIDTH1=1.0 -unit.2.0.1.X1=-0.0010683761 -unit.2.0.1.Y1=0.3043478 +unit.2.0.1.HEIGHT1=0.7176471 +unit.2.0.1.WIDTH1=0.9987342 +unit.2.0.1.X1=-0.0012658228 +unit.2.0.1.Y1=0.28382352 unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX unit.2.0.MFBitsB0=00000000000000000000000000000000 unit.2.0.MFCompareA0=0 @@ -57,12 +60,14 @@ unit.2.0.TCName0=TriggerCondition0 unit.2.0.TCOutputEnable0=0 unit.2.0.TCOutputHigh0=1 unit.2.0.TCOutputMode0=0 +unit.2.0.browser_tree_state=0 +unit.2.0.browser_tree_state=0 unit.2.0.browser_tree_state=1 unit.2.0.browser_tree_state=0 unit.2.0.browser_tree_state=0 unit.2.0.browser_tree_state=0 -unit.2.0.browser_tree_state=1 -unit.2.0.browser_tree_state=1 +unit.2.0.browser_tree_state=0 +unit.2.0.browser_tree_state=0 unit.2.0.coretype=ILA unit.2.0.eventCount0=1 unit.2.0.port.-1.b.0.alias=CBEn @@ -216,7 +221,7 @@ unit.2.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.18.name=DataPort[18] unit.2.0.port.-1.s.18.orderindex=-1 unit.2.0.port.-1.s.18.visible=1 -unit.2.0.port.-1.s.19.alias= +unit.2.0.port.-1.s.19.alias=S_FIFO[0] unit.2.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.19.name=DataPort[19] unit.2.0.port.-1.s.19.orderindex=-1 @@ -226,52 +231,52 @@ unit.2.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.2.name=DataPort[2] unit.2.0.port.-1.s.2.orderindex=-1 unit.2.0.port.-1.s.2.visible=1 -unit.2.0.port.-1.s.20.alias= +unit.2.0.port.-1.s.20.alias=S_FIFO[1] unit.2.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.20.name=DataPort[20] unit.2.0.port.-1.s.20.orderindex=-1 unit.2.0.port.-1.s.20.visible=1 -unit.2.0.port.-1.s.21.alias= +unit.2.0.port.-1.s.21.alias=S_FIFO[2] unit.2.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.21.name=DataPort[21] unit.2.0.port.-1.s.21.orderindex=-1 unit.2.0.port.-1.s.21.visible=1 -unit.2.0.port.-1.s.22.alias= +unit.2.0.port.-1.s.22.alias=S_FIFO[3] unit.2.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.22.name=DataPort[22] unit.2.0.port.-1.s.22.orderindex=-1 unit.2.0.port.-1.s.22.visible=1 -unit.2.0.port.-1.s.23.alias= +unit.2.0.port.-1.s.23.alias=S_FIFO[4] unit.2.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.23.name=DataPort[23] unit.2.0.port.-1.s.23.orderindex=-1 unit.2.0.port.-1.s.23.visible=1 -unit.2.0.port.-1.s.24.alias= +unit.2.0.port.-1.s.24.alias=S_FIFO[5] unit.2.0.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.24.name=DataPort[24] unit.2.0.port.-1.s.24.orderindex=-1 unit.2.0.port.-1.s.24.visible=1 -unit.2.0.port.-1.s.25.alias= +unit.2.0.port.-1.s.25.alias=S_FIFO[6] unit.2.0.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.25.name=DataPort[25] unit.2.0.port.-1.s.25.orderindex=-1 unit.2.0.port.-1.s.25.visible=1 -unit.2.0.port.-1.s.26.alias= +unit.2.0.port.-1.s.26.alias=S_FIFO[7] unit.2.0.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.26.name=DataPort[26] unit.2.0.port.-1.s.26.orderindex=-1 unit.2.0.port.-1.s.26.visible=1 -unit.2.0.port.-1.s.27.alias= +unit.2.0.port.-1.s.27.alias=R_FIFO[0] unit.2.0.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.27.name=DataPort[27] unit.2.0.port.-1.s.27.orderindex=-1 unit.2.0.port.-1.s.27.visible=1 -unit.2.0.port.-1.s.28.alias= +unit.2.0.port.-1.s.28.alias=R_FIFO[1] unit.2.0.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.28.name=DataPort[28] unit.2.0.port.-1.s.28.orderindex=-1 unit.2.0.port.-1.s.28.visible=1 -unit.2.0.port.-1.s.29.alias= +unit.2.0.port.-1.s.29.alias=R_FIFO[2] unit.2.0.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.29.name=DataPort[29] unit.2.0.port.-1.s.29.orderindex=-1 @@ -281,52 +286,52 @@ unit.2.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.3.name=DataPort[3] unit.2.0.port.-1.s.3.orderindex=-1 unit.2.0.port.-1.s.3.visible=1 -unit.2.0.port.-1.s.30.alias= +unit.2.0.port.-1.s.30.alias=R_FIFO[3] unit.2.0.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.30.name=DataPort[30] unit.2.0.port.-1.s.30.orderindex=-1 unit.2.0.port.-1.s.30.visible=1 -unit.2.0.port.-1.s.31.alias= +unit.2.0.port.-1.s.31.alias=R_FIFO[4] unit.2.0.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.31.name=DataPort[31] unit.2.0.port.-1.s.31.orderindex=-1 unit.2.0.port.-1.s.31.visible=1 -unit.2.0.port.-1.s.32.alias= +unit.2.0.port.-1.s.32.alias=R_FIFO[5] unit.2.0.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.32.name=DataPort[32] unit.2.0.port.-1.s.32.orderindex=-1 unit.2.0.port.-1.s.32.visible=1 -unit.2.0.port.-1.s.33.alias= +unit.2.0.port.-1.s.33.alias=R_FIFO[6] unit.2.0.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.33.name=DataPort[33] unit.2.0.port.-1.s.33.orderindex=-1 unit.2.0.port.-1.s.33.visible=1 -unit.2.0.port.-1.s.34.alias= +unit.2.0.port.-1.s.34.alias=R_FIFO[7] unit.2.0.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.34.name=DataPort[34] unit.2.0.port.-1.s.34.orderindex=-1 unit.2.0.port.-1.s.34.visible=1 -unit.2.0.port.-1.s.35.alias= +unit.2.0.port.-1.s.35.alias=PCI[0] unit.2.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.35.name=DataPort[35] unit.2.0.port.-1.s.35.orderindex=-1 unit.2.0.port.-1.s.35.visible=1 -unit.2.0.port.-1.s.36.alias= +unit.2.0.port.-1.s.36.alias=PCI[1] unit.2.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.36.name=DataPort[36] unit.2.0.port.-1.s.36.orderindex=-1 unit.2.0.port.-1.s.36.visible=1 -unit.2.0.port.-1.s.37.alias= +unit.2.0.port.-1.s.37.alias=PCI[2] unit.2.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.37.name=DataPort[37] unit.2.0.port.-1.s.37.orderindex=-1 unit.2.0.port.-1.s.37.visible=1 -unit.2.0.port.-1.s.38.alias= +unit.2.0.port.-1.s.38.alias=PCI[3] unit.2.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.38.name=DataPort[38] unit.2.0.port.-1.s.38.orderindex=-1 unit.2.0.port.-1.s.38.visible=1 -unit.2.0.port.-1.s.39.alias= +unit.2.0.port.-1.s.39.alias=PCI[4] unit.2.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.39.name=DataPort[39] unit.2.0.port.-1.s.39.orderindex=-1 @@ -336,52 +341,52 @@ unit.2.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.4.name=DataPort[4] unit.2.0.port.-1.s.4.orderindex=-1 unit.2.0.port.-1.s.4.visible=1 -unit.2.0.port.-1.s.40.alias= +unit.2.0.port.-1.s.40.alias=PCI[5] unit.2.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.40.name=DataPort[40] unit.2.0.port.-1.s.40.orderindex=-1 unit.2.0.port.-1.s.40.visible=1 -unit.2.0.port.-1.s.41.alias= +unit.2.0.port.-1.s.41.alias=PCI[6] unit.2.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.41.name=DataPort[41] unit.2.0.port.-1.s.41.orderindex=-1 unit.2.0.port.-1.s.41.visible=1 -unit.2.0.port.-1.s.42.alias= +unit.2.0.port.-1.s.42.alias=PCI[7] unit.2.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.42.name=DataPort[42] unit.2.0.port.-1.s.42.orderindex=-1 unit.2.0.port.-1.s.42.visible=1 -unit.2.0.port.-1.s.43.alias= +unit.2.0.port.-1.s.43.alias=PCI[8] unit.2.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.43.name=DataPort[43] unit.2.0.port.-1.s.43.orderindex=-1 unit.2.0.port.-1.s.43.visible=1 -unit.2.0.port.-1.s.44.alias= +unit.2.0.port.-1.s.44.alias=PCI[9] unit.2.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.44.name=DataPort[44] unit.2.0.port.-1.s.44.orderindex=-1 unit.2.0.port.-1.s.44.visible=1 -unit.2.0.port.-1.s.45.alias= +unit.2.0.port.-1.s.45.alias=PCI[10] unit.2.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.45.name=DataPort[45] unit.2.0.port.-1.s.45.orderindex=-1 unit.2.0.port.-1.s.45.visible=1 -unit.2.0.port.-1.s.46.alias= +unit.2.0.port.-1.s.46.alias=PCI[11] unit.2.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.46.name=DataPort[46] unit.2.0.port.-1.s.46.orderindex=-1 unit.2.0.port.-1.s.46.visible=1 -unit.2.0.port.-1.s.47.alias= +unit.2.0.port.-1.s.47.alias=PCI[12] unit.2.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.47.name=DataPort[47] unit.2.0.port.-1.s.47.orderindex=-1 unit.2.0.port.-1.s.47.visible=1 -unit.2.0.port.-1.s.48.alias= +unit.2.0.port.-1.s.48.alias=PCI[13] unit.2.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.48.name=DataPort[48] unit.2.0.port.-1.s.48.orderindex=-1 unit.2.0.port.-1.s.48.visible=1 -unit.2.0.port.-1.s.49.alias= +unit.2.0.port.-1.s.49.alias=PCI[14] unit.2.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.49.name=DataPort[49] unit.2.0.port.-1.s.49.orderindex=-1 @@ -391,52 +396,52 @@ unit.2.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.5.name=DataPort[5] unit.2.0.port.-1.s.5.orderindex=-1 unit.2.0.port.-1.s.5.visible=1 -unit.2.0.port.-1.s.50.alias= +unit.2.0.port.-1.s.50.alias=PCI[15] unit.2.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.50.name=DataPort[50] unit.2.0.port.-1.s.50.orderindex=-1 unit.2.0.port.-1.s.50.visible=1 -unit.2.0.port.-1.s.51.alias= +unit.2.0.port.-1.s.51.alias=PCI[16] unit.2.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.51.name=DataPort[51] unit.2.0.port.-1.s.51.orderindex=-1 unit.2.0.port.-1.s.51.visible=1 -unit.2.0.port.-1.s.52.alias= +unit.2.0.port.-1.s.52.alias=PCI[17] unit.2.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.52.name=DataPort[52] unit.2.0.port.-1.s.52.orderindex=-1 unit.2.0.port.-1.s.52.visible=1 -unit.2.0.port.-1.s.53.alias= +unit.2.0.port.-1.s.53.alias=PCI[18] unit.2.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.53.name=DataPort[53] unit.2.0.port.-1.s.53.orderindex=-1 unit.2.0.port.-1.s.53.visible=1 -unit.2.0.port.-1.s.54.alias= +unit.2.0.port.-1.s.54.alias=PCI[19] unit.2.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.54.name=DataPort[54] unit.2.0.port.-1.s.54.orderindex=-1 unit.2.0.port.-1.s.54.visible=1 -unit.2.0.port.-1.s.55.alias= +unit.2.0.port.-1.s.55.alias=PCI[20] unit.2.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.55.name=DataPort[55] unit.2.0.port.-1.s.55.orderindex=-1 unit.2.0.port.-1.s.55.visible=1 -unit.2.0.port.-1.s.56.alias= +unit.2.0.port.-1.s.56.alias=PCI[21] unit.2.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.56.name=DataPort[56] unit.2.0.port.-1.s.56.orderindex=-1 unit.2.0.port.-1.s.56.visible=1 -unit.2.0.port.-1.s.57.alias= +unit.2.0.port.-1.s.57.alias=PCI[22] unit.2.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.57.name=DataPort[57] unit.2.0.port.-1.s.57.orderindex=-1 unit.2.0.port.-1.s.57.visible=1 -unit.2.0.port.-1.s.58.alias= +unit.2.0.port.-1.s.58.alias=PCI[23] unit.2.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.58.name=DataPort[58] unit.2.0.port.-1.s.58.orderindex=-1 unit.2.0.port.-1.s.58.visible=1 -unit.2.0.port.-1.s.59.alias= +unit.2.0.port.-1.s.59.alias=PCI[24] unit.2.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.59.name=DataPort[59] unit.2.0.port.-1.s.59.orderindex=-1 @@ -446,52 +451,52 @@ unit.2.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.6.name=DataPort[6] unit.2.0.port.-1.s.6.orderindex=-1 unit.2.0.port.-1.s.6.visible=1 -unit.2.0.port.-1.s.60.alias= +unit.2.0.port.-1.s.60.alias=PCI[25] unit.2.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.60.name=DataPort[60] unit.2.0.port.-1.s.60.orderindex=-1 unit.2.0.port.-1.s.60.visible=1 -unit.2.0.port.-1.s.61.alias= +unit.2.0.port.-1.s.61.alias=PCI[26] unit.2.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.61.name=DataPort[61] unit.2.0.port.-1.s.61.orderindex=-1 unit.2.0.port.-1.s.61.visible=1 -unit.2.0.port.-1.s.62.alias= +unit.2.0.port.-1.s.62.alias=PCI[27] unit.2.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.62.name=DataPort[62] unit.2.0.port.-1.s.62.orderindex=-1 unit.2.0.port.-1.s.62.visible=1 -unit.2.0.port.-1.s.63.alias= +unit.2.0.port.-1.s.63.alias=PCI[28] unit.2.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.63.name=DataPort[63] unit.2.0.port.-1.s.63.orderindex=-1 unit.2.0.port.-1.s.63.visible=1 -unit.2.0.port.-1.s.64.alias= +unit.2.0.port.-1.s.64.alias=PCI[29] unit.2.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.64.name=DataPort[64] unit.2.0.port.-1.s.64.orderindex=-1 unit.2.0.port.-1.s.64.visible=1 -unit.2.0.port.-1.s.65.alias= +unit.2.0.port.-1.s.65.alias=PCI[30] unit.2.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.65.name=DataPort[65] unit.2.0.port.-1.s.65.orderindex=-1 unit.2.0.port.-1.s.65.visible=1 -unit.2.0.port.-1.s.66.alias= +unit.2.0.port.-1.s.66.alias=PCI[31] unit.2.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.66.name=DataPort[66] unit.2.0.port.-1.s.66.orderindex=-1 unit.2.0.port.-1.s.66.visible=1 -unit.2.0.port.-1.s.67.alias= +unit.2.0.port.-1.s.67.alias=CBE[0] unit.2.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.67.name=DataPort[67] unit.2.0.port.-1.s.67.orderindex=-1 unit.2.0.port.-1.s.67.visible=1 -unit.2.0.port.-1.s.68.alias= +unit.2.0.port.-1.s.68.alias=CBE[1] unit.2.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.68.name=DataPort[68] unit.2.0.port.-1.s.68.orderindex=-1 unit.2.0.port.-1.s.68.visible=1 -unit.2.0.port.-1.s.69.alias= +unit.2.0.port.-1.s.69.alias=CBE[2] unit.2.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.69.name=DataPort[69] unit.2.0.port.-1.s.69.orderindex=-1 @@ -501,7 +506,7 @@ unit.2.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.7.name=DataPort[7] unit.2.0.port.-1.s.7.orderindex=-1 unit.2.0.port.-1.s.7.visible=1 -unit.2.0.port.-1.s.70.alias= +unit.2.0.port.-1.s.70.alias=CBE[3] unit.2.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.70.name=DataPort[70] unit.2.0.port.-1.s.70.orderindex=-1 @@ -511,42 +516,42 @@ unit.2.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.71.name=DataPort[71] unit.2.0.port.-1.s.71.orderindex=-1 unit.2.0.port.-1.s.71.visible=1 -unit.2.0.port.-1.s.72.alias=DataPort[72] +unit.2.0.port.-1.s.72.alias=IDSEL unit.2.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.72.name=DataPort[72] unit.2.0.port.-1.s.72.orderindex=-1 unit.2.0.port.-1.s.72.visible=1 -unit.2.0.port.-1.s.73.alias= +unit.2.0.port.-1.s.73.alias=TRDYn unit.2.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.73.name=DataPort[73] unit.2.0.port.-1.s.73.orderindex=-1 unit.2.0.port.-1.s.73.visible=1 -unit.2.0.port.-1.s.74.alias= +unit.2.0.port.-1.s.74.alias=IRDYn unit.2.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.74.name=DataPort[74] unit.2.0.port.-1.s.74.orderindex=-1 unit.2.0.port.-1.s.74.visible=1 -unit.2.0.port.-1.s.75.alias= +unit.2.0.port.-1.s.75.alias=STOPn unit.2.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.75.name=DataPort[75] unit.2.0.port.-1.s.75.orderindex=-1 unit.2.0.port.-1.s.75.visible=1 -unit.2.0.port.-1.s.76.alias= +unit.2.0.port.-1.s.76.alias=SERRn unit.2.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.76.name=DataPort[76] unit.2.0.port.-1.s.76.orderindex=-1 unit.2.0.port.-1.s.76.visible=1 -unit.2.0.port.-1.s.77.alias= +unit.2.0.port.-1.s.77.alias=PERRn unit.2.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.77.name=DataPort[77] unit.2.0.port.-1.s.77.orderindex=-1 unit.2.0.port.-1.s.77.visible=1 -unit.2.0.port.-1.s.78.alias= +unit.2.0.port.-1.s.78.alias=REQn unit.2.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.78.name=DataPort[78] unit.2.0.port.-1.s.78.orderindex=-1 unit.2.0.port.-1.s.78.visible=1 -unit.2.0.port.-1.s.79.alias= +unit.2.0.port.-1.s.79.alias=GNTn unit.2.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.-1.s.79.name=DataPort[79] unit.2.0.port.-1.s.79.orderindex=-1 @@ -791,12 +796,12 @@ unit.2.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.0.s.4.name=TriggerPort0[4] unit.2.0.port.0.s.4.orderindex=-1 unit.2.0.port.0.s.4.visible=1 -unit.2.0.port.0.s.5.alias= +unit.2.0.port.0.s.5.alias=PCI_RSTn unit.2.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.0.s.5.name=TriggerPort0[5] unit.2.0.port.0.s.5.orderindex=-1 unit.2.0.port.0.s.5.visible=1 -unit.2.0.port.0.s.6.alias= +unit.2.0.port.0.s.6.alias=PCI_IDSEL unit.2.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124] unit.2.0.port.0.s.6.name=TriggerPort0[6] unit.2.0.port.0.s.6.orderindex=-1 @@ -825,7 +830,7 @@ unit.2.0.triggerWindowCount=1 unit.2.0.triggerWindowDepth=4096 unit.2.0.triggerWindowTS=0 unit.2.0.username=MyILA0 -unit.2.0.waveform.count=25 +unit.2.0.waveform.count=33 unit.2.0.waveform.posn.0.channel=2147483646 unit.2.0.waveform.posn.0.name=PCI unit.2.0.waveform.posn.0.radix=1 @@ -834,129 +839,225 @@ unit.2.0.waveform.posn.1.channel=2147483646 unit.2.0.waveform.posn.1.name=CBE_ADDR unit.2.0.waveform.posn.1.radix=1 unit.2.0.waveform.posn.1.type=bus -unit.2.0.waveform.posn.10.channel=5 -unit.2.0.waveform.posn.10.name=R_RESET +unit.2.0.waveform.posn.10.channel=77 +unit.2.0.waveform.posn.10.name=PERRn unit.2.0.waveform.posn.10.radix=1 unit.2.0.waveform.posn.10.type=signal -unit.2.0.waveform.posn.11.channel=6 -unit.2.0.waveform.posn.11.name=R_RETRANS +unit.2.0.waveform.posn.11.channel=78 +unit.2.0.waveform.posn.11.name=REQn unit.2.0.waveform.posn.11.radix=1 unit.2.0.waveform.posn.11.type=signal -unit.2.0.waveform.posn.12.channel=7 -unit.2.0.waveform.posn.12.name=R_WRITE +unit.2.0.waveform.posn.12.channel=79 +unit.2.0.waveform.posn.12.name=GNTn unit.2.0.waveform.posn.12.radix=1 unit.2.0.waveform.posn.12.type=signal unit.2.0.waveform.posn.13.channel=2147483646 -unit.2.0.waveform.posn.13.name=S_FIFO +unit.2.0.waveform.posn.13.name=R_FIFO unit.2.0.waveform.posn.13.radix=1 unit.2.0.waveform.posn.13.type=bus -unit.2.0.waveform.posn.14.channel=8 -unit.2.0.waveform.posn.14.name=S_Empty +unit.2.0.waveform.posn.14.channel=1 +unit.2.0.waveform.posn.14.name=R_Empty unit.2.0.waveform.posn.14.radix=1 unit.2.0.waveform.posn.14.type=signal -unit.2.0.waveform.posn.15.channel=9 -unit.2.0.waveform.posn.15.name=S_Half +unit.2.0.waveform.posn.15.channel=2 +unit.2.0.waveform.posn.15.name=R_Half +unit.2.0.waveform.posn.15.radix=1 unit.2.0.waveform.posn.15.type=signal -unit.2.0.waveform.posn.16.channel=10 -unit.2.0.waveform.posn.16.name=S_Full +unit.2.0.waveform.posn.16.channel=3 +unit.2.0.waveform.posn.16.name=R_Full +unit.2.0.waveform.posn.16.radix=1 unit.2.0.waveform.posn.16.type=signal -unit.2.0.waveform.posn.17.channel=11 -unit.2.0.waveform.posn.17.name=S_READ +unit.2.0.waveform.posn.17.channel=4 +unit.2.0.waveform.posn.17.name=R_READ +unit.2.0.waveform.posn.17.radix=1 unit.2.0.waveform.posn.17.type=signal -unit.2.0.waveform.posn.18.channel=12 -unit.2.0.waveform.posn.18.name=S_RESET +unit.2.0.waveform.posn.18.channel=5 +unit.2.0.waveform.posn.18.name=R_RESET +unit.2.0.waveform.posn.18.radix=1 unit.2.0.waveform.posn.18.type=signal -unit.2.0.waveform.posn.19.channel=13 -unit.2.0.waveform.posn.19.name=S_RETRANS +unit.2.0.waveform.posn.19.channel=6 +unit.2.0.waveform.posn.19.name=R_RETRANS +unit.2.0.waveform.posn.19.radix=1 unit.2.0.waveform.posn.19.type=signal unit.2.0.waveform.posn.2.channel=2147483646 unit.2.0.waveform.posn.2.name=CBEn unit.2.0.waveform.posn.2.radix=6 unit.2.0.waveform.posn.2.type=bus -unit.2.0.waveform.posn.20.channel=14 -unit.2.0.waveform.posn.20.name=S_WRITE +unit.2.0.waveform.posn.20.channel=7 +unit.2.0.waveform.posn.20.name=R_WRITE +unit.2.0.waveform.posn.20.radix=1 unit.2.0.waveform.posn.20.type=signal -unit.2.0.waveform.posn.21.channel=15 -unit.2.0.waveform.posn.21.name=SER_IN +unit.2.0.waveform.posn.21.channel=2147483646 +unit.2.0.waveform.posn.21.name=S_FIFO unit.2.0.waveform.posn.21.radix=1 -unit.2.0.waveform.posn.21.type=signal -unit.2.0.waveform.posn.22.channel=16 -unit.2.0.waveform.posn.22.name=SPC_RDY_IN +unit.2.0.waveform.posn.21.type=bus +unit.2.0.waveform.posn.22.channel=8 +unit.2.0.waveform.posn.22.name=S_Empty unit.2.0.waveform.posn.22.radix=1 unit.2.0.waveform.posn.22.type=signal -unit.2.0.waveform.posn.23.channel=17 -unit.2.0.waveform.posn.23.name=SER_OUT +unit.2.0.waveform.posn.23.channel=9 +unit.2.0.waveform.posn.23.name=S_Half unit.2.0.waveform.posn.23.radix=1 unit.2.0.waveform.posn.23.type=signal -unit.2.0.waveform.posn.24.channel=18 -unit.2.0.waveform.posn.24.name=SPC_RDY_OUT +unit.2.0.waveform.posn.24.channel=10 +unit.2.0.waveform.posn.24.name=S_Full unit.2.0.waveform.posn.24.radix=1 unit.2.0.waveform.posn.24.type=signal -unit.2.0.waveform.posn.25.channel=18 -unit.2.0.waveform.posn.25.name=SPC_RDY_OUT +unit.2.0.waveform.posn.25.channel=11 +unit.2.0.waveform.posn.25.name=S_READ unit.2.0.waveform.posn.25.radix=1 unit.2.0.waveform.posn.25.type=signal -unit.2.0.waveform.posn.26.channel=72 -unit.2.0.waveform.posn.26.name=DEVSELn +unit.2.0.waveform.posn.26.channel=12 +unit.2.0.waveform.posn.26.name=S_RESET unit.2.0.waveform.posn.26.type=signal -unit.2.0.waveform.posn.27.channel=35 -unit.2.0.waveform.posn.27.name=DataPort[35] +unit.2.0.waveform.posn.27.channel=13 +unit.2.0.waveform.posn.27.name=S_RETRANS unit.2.0.waveform.posn.27.type=signal -unit.2.0.waveform.posn.28.channel=35 -unit.2.0.waveform.posn.28.name=DataPort[35] +unit.2.0.waveform.posn.28.channel=14 +unit.2.0.waveform.posn.28.name=S_WRITE unit.2.0.waveform.posn.28.type=signal -unit.2.0.waveform.posn.29.channel=35 -unit.2.0.waveform.posn.29.name=DataPort[35] +unit.2.0.waveform.posn.29.channel=15 +unit.2.0.waveform.posn.29.name=SER_IN unit.2.0.waveform.posn.29.type=signal unit.2.0.waveform.posn.3.channel=71 unit.2.0.waveform.posn.3.name=FRAMEn unit.2.0.waveform.posn.3.radix=6 unit.2.0.waveform.posn.3.type=signal -unit.2.0.waveform.posn.30.channel=35 -unit.2.0.waveform.posn.30.name=DataPort[35] +unit.2.0.waveform.posn.30.channel=16 +unit.2.0.waveform.posn.30.name=SPC_RDY_IN unit.2.0.waveform.posn.30.type=signal -unit.2.0.waveform.posn.31.channel=35 -unit.2.0.waveform.posn.31.name=DataPort[35] +unit.2.0.waveform.posn.31.channel=17 +unit.2.0.waveform.posn.31.name=SER_OUT unit.2.0.waveform.posn.31.type=signal -unit.2.0.waveform.posn.32.channel=35 -unit.2.0.waveform.posn.32.name=DataPort[35] +unit.2.0.waveform.posn.32.channel=18 +unit.2.0.waveform.posn.32.name=SPC_RDY_OUT unit.2.0.waveform.posn.32.type=signal -unit.2.0.waveform.posn.33.channel=35 -unit.2.0.waveform.posn.33.name=DataPort[35] +unit.2.0.waveform.posn.33.channel=79 +unit.2.0.waveform.posn.33.name=GNTn unit.2.0.waveform.posn.33.type=signal -unit.2.0.waveform.posn.34.channel=35 -unit.2.0.waveform.posn.34.name=DataPort[35] +unit.2.0.waveform.posn.34.channel=18 +unit.2.0.waveform.posn.34.name=SPC_RDY_OUT unit.2.0.waveform.posn.34.type=signal -unit.2.0.waveform.posn.35.channel=35 -unit.2.0.waveform.posn.35.name=DataPort[35] +unit.2.0.waveform.posn.35.channel=18 +unit.2.0.waveform.posn.35.name=SPC_RDY_OUT unit.2.0.waveform.posn.35.type=signal -unit.2.0.waveform.posn.36.channel=35 -unit.2.0.waveform.posn.36.name=DataPort[35] +unit.2.0.waveform.posn.36.channel=18 +unit.2.0.waveform.posn.36.name=SPC_RDY_OUT unit.2.0.waveform.posn.36.type=signal -unit.2.0.waveform.posn.37.channel=35 -unit.2.0.waveform.posn.37.name=DataPort[35] +unit.2.0.waveform.posn.37.channel=77 +unit.2.0.waveform.posn.37.name=PERRn unit.2.0.waveform.posn.37.type=signal +unit.2.0.waveform.posn.38.channel=77 +unit.2.0.waveform.posn.38.name=PERRn +unit.2.0.waveform.posn.38.type=signal unit.2.0.waveform.posn.4.channel=0 unit.2.0.waveform.posn.4.name=INTAn unit.2.0.waveform.posn.4.radix=1 unit.2.0.waveform.posn.4.type=signal -unit.2.0.waveform.posn.5.channel=2147483646 -unit.2.0.waveform.posn.5.name=R_FIFO +unit.2.0.waveform.posn.5.channel=72 +unit.2.0.waveform.posn.5.name=IDSEL unit.2.0.waveform.posn.5.radix=1 -unit.2.0.waveform.posn.5.type=bus -unit.2.0.waveform.posn.6.channel=1 -unit.2.0.waveform.posn.6.name=R_Empty +unit.2.0.waveform.posn.5.type=signal +unit.2.0.waveform.posn.6.channel=73 +unit.2.0.waveform.posn.6.name=TRDYn unit.2.0.waveform.posn.6.radix=1 unit.2.0.waveform.posn.6.type=signal -unit.2.0.waveform.posn.7.channel=2 -unit.2.0.waveform.posn.7.name=R_Half +unit.2.0.waveform.posn.7.channel=74 +unit.2.0.waveform.posn.7.name=IRDYn unit.2.0.waveform.posn.7.radix=1 unit.2.0.waveform.posn.7.type=signal -unit.2.0.waveform.posn.8.channel=3 -unit.2.0.waveform.posn.8.name=R_Full +unit.2.0.waveform.posn.8.channel=75 +unit.2.0.waveform.posn.8.name=STOPn unit.2.0.waveform.posn.8.radix=1 unit.2.0.waveform.posn.8.type=signal -unit.2.0.waveform.posn.9.channel=4 -unit.2.0.waveform.posn.9.name=R_READ +unit.2.0.waveform.posn.9.channel=76 +unit.2.0.waveform.posn.9.name=SERRn unit.2.0.waveform.posn.9.radix=1 unit.2.0.waveform.posn.9.type=signal +unit.2.1.6.HEIGHT6=0.425 +unit.2.1.6.WIDTH6=0.6126582 +unit.2.1.6.X6=0.016455697 +unit.2.1.6.Y6=0.32647058 +unit.2.1.browser_tree_state=1 +unit.2.1.browser_tree_state=1 +unit.2.1.coretype=VIO +unit.2.1.port.-1.buscount=0 +unit.2.1.port.-1.channelcount=4 +unit.2.1.port.-1.s.0.alias=LED_PCIReset +unit.2.1.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124] +unit.2.1.port.-1.s.0.display=16 +unit.2.1.port.-1.s.0.name=AsyncIn[0] +unit.2.1.port.-1.s.0.orderindex=-1 +unit.2.1.port.-1.s.0.persistence=0 +unit.2.1.port.-1.s.0.value=0 +unit.2.1.port.-1.s.0.visible=1 +unit.2.1.port.-1.s.1.alias=LED_IDSEL +unit.2.1.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124] +unit.2.1.port.-1.s.1.display=16 +unit.2.1.port.-1.s.1.name=AsyncIn[1] +unit.2.1.port.-1.s.1.orderindex=-1 +unit.2.1.port.-1.s.1.persistence=0 +unit.2.1.port.-1.s.1.value=0 +unit.2.1.port.-1.s.1.visible=1 +unit.2.1.port.-1.s.2.alias=LED_FRAME +unit.2.1.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124] +unit.2.1.port.-1.s.2.display=16 +unit.2.1.port.-1.s.2.name=AsyncIn[2] +unit.2.1.port.-1.s.2.orderindex=-1 +unit.2.1.port.-1.s.2.persistence=0 +unit.2.1.port.-1.s.2.value=0 +unit.2.1.port.-1.s.2.visible=1 +unit.2.1.port.-1.s.3.alias=LED_INTA +unit.2.1.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124] +unit.2.1.port.-1.s.3.display=16 +unit.2.1.port.-1.s.3.name=AsyncIn[3] +unit.2.1.port.-1.s.3.orderindex=-1 +unit.2.1.port.-1.s.3.persistence=0 +unit.2.1.port.-1.s.3.value=0 +unit.2.1.port.-1.s.3.visible=1 +unit.2.1.port.0.buscount=0 +unit.2.1.port.0.channelcount=0 +unit.2.1.port.1.buscount=0 +unit.2.1.port.1.channelcount=0 +unit.2.1.port.1.s.0.alias=PCI_INTA +unit.2.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124] +unit.2.1.port.1.s.0.display=1 +unit.2.1.port.1.s.0.name=AsyncOut[0] +unit.2.1.port.1.s.0.orderindex=-1 +unit.2.1.port.1.s.0.persistence=0 +unit.2.1.port.1.s.0.value=0 +unit.2.1.port.1.s.0.visible=1 +unit.2.1.port.2.buscount=0 +unit.2.1.port.2.channelcount=1 +unit.2.1.port.2.s.0.alias=PCI_INTA +unit.2.1.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124] +unit.2.1.port.2.s.0.display=1 +unit.2.1.port.2.s.0.name=SyncOut[0] +unit.2.1.port.2.s.0.orderindex=-1 +unit.2.1.port.2.s.0.persistence=0 +unit.2.1.port.2.s.0.value=0 +unit.2.1.port.2.s.0.visible=1 +unit.2.1.portcount=3 +unit.2.1.username=MyVIO1 +unit.2.1.vio.count=5 +unit.2.1.vio.posn.0.channel=0 +unit.2.1.vio.posn.0.name=LED_PCIReset +unit.2.1.vio.posn.0.port=-1 +unit.2.1.vio.posn.0.type=signal +unit.2.1.vio.posn.1.channel=1 +unit.2.1.vio.posn.1.name=LED_IDSEL +unit.2.1.vio.posn.1.port=-1 +unit.2.1.vio.posn.1.type=signal +unit.2.1.vio.posn.2.channel=2 +unit.2.1.vio.posn.2.name=LED_FRAME +unit.2.1.vio.posn.2.port=-1 +unit.2.1.vio.posn.2.type=signal +unit.2.1.vio.posn.3.channel=3 +unit.2.1.vio.posn.3.name=LED_INTA +unit.2.1.vio.posn.3.port=-1 +unit.2.1.vio.posn.3.type=signal +unit.2.1.vio.posn.4.channel=0 +unit.2.1.vio.posn.4.name=PCI_INTA +unit.2.1.vio.posn.4.port=2 +unit.2.1.vio.posn.4.type=signal +unit.2.1.vio.readperiod=0