X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/152884e67f2cea6ff9f5787eab6c72dcffe484e4..4b4adc0dde97077338e46f8aab675619cf8ab42c:/heartbeat/raggedstone.prj diff --git a/heartbeat/raggedstone.prj b/heartbeat/raggedstone.prj index e0adeac..4b24f2b 100644 --- a/heartbeat/raggedstone.prj +++ b/heartbeat/raggedstone.prj @@ -10,5 +10,5 @@ vhdl work "source/pfs.vhd" vhdl work "source/new_pciregs.vhd" vhdl work "source/pcipargen.vhd" vhdl work "source/new_pci32tlite.vhd" -vhdl work "source/top_pci_7seg.vhd" +vhdl work "source/top_raggedstone.vhd" vhdl work "source/heartbeat.vhd"