X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/152884e67f2cea6ff9f5787eab6c72dcffe484e4..82cc0f36680a7da185bde47007d7b677212edeb6:/heartbeat/source/heartbeat.vhd diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index 76f084d..6938e3f 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -5,7 +5,7 @@ use ieee.std_logic_unsigned.all; entity heartbeat is generic ( - divider : integer := 33000000 + divider : std_logic_vector(31 downto 0) := X"01F78A40" ); port ( @@ -32,6 +32,7 @@ if (clk_i'event AND clk_i = '1') then counter := counter + 1; if counter = divider then state := not state; + counter := (others => '0'); end if; end if; end if;