X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/152884e67f2cea6ff9f5787eab6c72dcffe484e4..8bf65a1318cd073df6faa690029090c474a54ef1:/heartbeat/Makefile diff --git a/heartbeat/Makefile b/heartbeat/Makefile index 0399ea3..efa7ed3 100644 --- a/heartbeat/Makefile +++ b/heartbeat/Makefile @@ -1,79 +1,76 @@ PWD := $(shell pwd) -XST := $(shell which xst) +PROJECT := raggedstone -TMP = tmp/ -$(shell mkdir tmp) +#auto|lpt1|lpt2|lpt3|com1|com2|com3|com4|usb0|usb1|usb2|usb21|ttya|ttyb|tty00|tty01 +CABLE ?= auto -PROJECT := pci_7seg +INTSTYLE := silent -all: xst ngdbuild map par trace prom mcs final +SOURCES = $(wildcard sources/*.v source/*.vhd) + +all: $(PROJECT).bit final log: time make all &>build.log xst: $(PROJECT).ngc -ngdbuild: $(PROJECT).ngc $(PROJECT).ngd +ngdbuild: $(PROJECT).ngd -$(PROJECT).ngc: +$(PROJECT).ngc: $(SOURCES) @# echo synclib > $(PROJECT).lso # hmm. things are different in ise 9.1 echo work > $(PROJECT).lso - xst -intstyle ise -ifn $(PROJECT).xst -ofn $(PROJECT).syr - #cat $(PROJECT).syr - mv $(PROJECT).syr $(TMP) - mv $(PROJECT).ngr $(PROJECT).lso $(TMP) - mv xst $(TMP) - -$(PROJECT).ngd: - ngdbuild -intstyle ise -dd "$(PWD)/_ngo" -nt timestamp -uc $(PROJECT).ucf -p xc3s1500-fg456-4 $(PROJECT).ngc $(PROJECT).ngd &> tmp/build.ngdbuild.log - mv $(PROJECT).bld $(TMP) - mv _ngo $(TMP) - -map: - map -intstyle ise -p xc3s1500-fg456-4 -cm area -pr b -k 4 -c 100 -o $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf &> tmp/build.map.log - mv $(PROJECT)_map.mrp $(PROJECT)_map.ngm $(PROJECT).ngc $(TMP) - -par: - @#par -w -intstyle ise -ol std -n 4 -t 1 $(PROJECT)_map.ncd $(PROJECT).dir $(PROJECT).pcf &> tmp/build.par.log - par -w -intstyle ise -ol std -t 1 $(PROJECT)_map.ncd $(PROJECT).ncd $(PROJECT).pcf &> tmp/build.par.log - mv $(PROJECT).xpi $(PROJECT).par $(PROJECT).pad $(TMP) - mv $(PROJECT)_pad.csv $(PROJECT)_pad.txt $(TMP) - -trace: - trce -intstyle ise -e 3 -l 3 -s 4 -xml $(PROJECT) $(PROJECT).ncd -o $(PROJECT).twr $(PROJECT).pcf &> tmp/build.trce.log - #cat $(PROJECT).twr - mv $(PROJECT).twr $(TMP) - mv $(PROJECT).twx $(TMP) - mv $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf $(TMP) - -prom: - bitgen -intstyle ise -f $(PROJECT).ut $(PROJECT).ncd &> tmp/build.bitgen.log - # cp $(PROJECT).bit ../jcarr_last.bit - #cat $(PROJECT).drc - mv $(PROJECT).drc $(TMP) - #cat $(PROJECT).bgn - mv $(PROJECT).bgn $(TMP) - -mcs: - promgen -p mcs -u 0 $(PROJECT) -o pci1 pci2 -x xcf02s xcf04s + xst -intstyle $(INTSTYLE) -ifn $(PROJECT).xst -ofn $(PROJECT).syr + @#cat $(PROJECT).syr + +$(PROJECT).ngd: $(PROJECT).ngc + ngdbuild -intstyle $(INTSTYLE) -dd "$(PWD)/_ngo" -nt timestamp -uc $(PROJECT).ucf -p xc3s1500-fg456-4 $(PROJECT).ngc $(PROJECT).ngd + +$(PROJECT)_map.ngm $(PROJECT).pcf: $(PROJECT).ngd + map -intstyle $(INTSTYLE) -p xc3s1500-fg456-4 -cm area -pr b -k 4 -c 100 -o $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf + +$(PROJECT).ncd: $(PROJECT)_map.ngm $(PROJECT).pcf + @#par -w -intstyle $(INTSTYLE) -ol std -n 4 -t 1 $(PROJECT)_map.ncd $(PROJECT).dir $(PROJECT).pcf + par -w -intstyle $(INTSTYLE) -ol std -t 1 $(PROJECT)_map.ncd $(PROJECT).ncd $(PROJECT).pcf + +$(PROJECT).twx: $(PROJECT).ncd + trce -intstyle $(INTSTYLE) -e 3 -l 3 -s 4 -xml $(PROJECT) $(PROJECT).ncd -o $(PROJECT).twr $(PROJECT).pcf + @#cat $(PROJECT).twr + +$(PROJECT).bit: $(PROJECT).ncd + bitgen -intstyle $(INTSTYLE) -f $(PROJECT).ut $(PROJECT).ncd + @# cp $(PROJECT).bit ../jcarr_last.bit + @#cat $(PROJECT).drc + @#cat $(PROJECT).bgn + +$(PROJECT)-xcf02s.mcs $(PROJECT)-xcf04s.mcs: $(PROJECT).bit + promgen -intstyle $(INTSTYLE) -w -p mcs -u 0 $(PROJECT) -o $(PROJECT)-xcf02s $(PROJECT)-xcf04s -x xcf02s xcf04s final: - -mv $(PROJECT).unroutes *.xml $(TMP) - -mv $(PROJECT)*.map $(TMP) - -mv $(PROJECT).ncd $(TMP) - -grep -A 8 -B 1 ^Selected\ Device tmp/build.xst.log - -grep -A 8 -B 1 ^Timing\ Summary tmp/build.xst.log - -grep -A 21 -B 1 ^Design\ Summary tmp/build.map.log - -burn: + -@grep -A 8 -B 1 ^Selected\ Device $(PROJECT).syr + -@grep -A 8 -B 1 ^Timing\ Summary $(PROJECT).syr + -@grep -A 21 -B 1 ^Design\ Summary $(PROJECT)_map.map + +burn: $(PROJECT).bit xc3sprog $(PROJECT).bit +load: $(PROJECT).bit + @sed -e "s|%CABLE%|$(CABLE)|g" xc3s1500.batch >xc3s1500.batch.tmp + impact -batch xc3s1500.batch.tmp + @rm xc3s1500.batch.tmp + +flash: $(PROJECT)-xcf02s.mcs $(PROJECT)-xcf04s.mcs + @sed -e "s|%CABLE%|$(CABLE)|g" xcf.batch >xcf.batch.tmp + impact -batch xcf.batch.tmp + @rm xcf.batch.tmp + clean: - rm -rf $(TMP) - rm -rf *.bit *.bgn *.mcs *.prm *.bld *.drc *.mcs *.ncd *.ngc *.ngd - rm -rf *.ngr *.pad *.par *.pcf *.prm *.syr *.twr *.twx *.xpi *.lso *.prm *.mcs - rm -rf $(PROJECT)_map.* $(PROJECT)_pad.* - rm -rf _ngo xst - rm -rf build.log - rm -rf $(PROJECT).unroutes *.xml + @rm -rf *.bit *.bgn *.mcs *.prm *.bld *.drc *.mcs *.ncd *.ngc *.ngd \ + *.ngr *.pad *.par *.pcf *.prm *.syr *.twr *.twx *.xpi *.lso *.prm *.mcs _impact* \ + $(PROJECT)_map.* $(PROJECT)_pad.* \ + _ngo xst \ + build.log \ + $(PROJECT).unroutes *.xml + +.PHONY: all final burn load flash clean xst ngdbuild log