X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/1a94112a1a2726536608715514802c453ce2e95b..7a6a1ff70fc526bd7f7feacdf2f25ee77f779260:/ethernet/source/top.vhd diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index c031ae6..611e9c0 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -32,6 +32,8 @@ PORT( MD_PAD_IO : INOUT std_logic; MDC_PAD_O : OUT std_logic; + PHY_CLOCK : OUT std_logic; + LED_2 : OUT std_logic ); end ethernet; @@ -256,6 +258,7 @@ wb_adr_i(11 downto 8) <= (others => '0'); wb_adr_i(7 downto 2) <= wbm_adr_o (7 downto 2); wb_clk_i <= PCI_CLOCK; +PHY_CLOCK <= PCI_CLOCK; data(31 downto 0) <= wbm_adr_o; data(40 downto 33) <= wbm_adr_o (7 downto 0);